CN1229273A - 制造半导体器件的方法 - Google Patents

制造半导体器件的方法 Download PDF

Info

Publication number
CN1229273A
CN1229273A CN99102968A CN99102968A CN1229273A CN 1229273 A CN1229273 A CN 1229273A CN 99102968 A CN99102968 A CN 99102968A CN 99102968 A CN99102968 A CN 99102968A CN 1229273 A CN1229273 A CN 1229273A
Authority
CN
China
Prior art keywords
film
dielectric film
insulating film
semiconductor device
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN99102968A
Other languages
English (en)
Inventor
岸本光司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1229273A publication Critical patent/CN1229273A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种制造半导体器件的方法,包括下列步骤:在半导体衬底上形成绝缘膜,并在绝缘膜上形成多个下布线;形成具有倒悬形式的第一绝缘膜,覆盖下布线和绝缘膜表面;在第一绝缘膜上形成包含有机物的涂敷绝缘膜;烘焙包含有机物的涂敷绝缘膜;对包含有机物的涂敷绝缘膜的一部分深腐蚀;在第一绝缘膜和包含有机物的涂敷绝缘膜上形成第二绝缘膜;抛光第二绝缘膜,使其表面平面化;腐蚀第一绝缘膜和第二绝缘膜的预定部分,形成达到下布线的孔;将金属材料掩埋进孔中。

Description

制造半导体器件的方法
本发明涉及具有多层布线结构的半导体器件的制造方法,特别涉及形成层间介质膜以使芯片平面化的方法。
随着布线电阻(R)与布线之间寄生电容(C)之积RC(时间常数)增加,半导体器件的工作速度减小,并且布线之间寄生电容(C)与布线间隔成反比例地增加。因此,为了提高半导体器件的工作速度,重要的是减小寄生电容。
通过增加层间介质膜的厚度可减小不同层之间的寄生电容。另一方面,为了减小相同层之间的寄生电容,要求下列方法中的一种方法:增加布线间隔、减小布线高度和使用低介电常数(low-specific-permittivity)。在这些方法中,增加布线间隔和减小布线高度的方法因其与最近的半导体器件微型结构化、布线间隔减小和布线之间纵横比(布线厚度(=布线高度)/布线间隔)增大的趋势相违而不适用。因此,对于同一层的布线,必需通过填充低介电常数的层间绝缘膜来减小寄生电容。尤其是对于具有窄布线间隔的部分和布线之间具有高纵横比的部分,因RC(时间常数)如前所述实际上较高,因而很有必要填充低介电常数的层间绝缘膜。
另一方面,多层布线已广泛地用于半导体器件的微型结构中。作为用于具有多层布线的半导体器件的层间介质膜,使用二氧化硅系绝缘膜。可是,当如铝之类的金属被用作布线材料时,形成层间绝缘膜中的温度被限制于450℃以下。因而,PE-TEOS膜被广泛地用作层间绝缘膜。PE-TEOS膜是用TEOS(原硅酸四乙脂(tetraethylorthosilicate))通过等离子体增强化学汽相淀积形成的二氧化硅膜。PE-TEOS膜的介电常数约为4.2-4.4。可是,当仅通过PE-TEOS膜形成掩埋的绝缘膜时,在以下几点尚有改进的余地。即,具有布线间隔短于0.5μm和纵横比大于1的布线间隙不能完全地掩埋,进而在微小布线之间很可能产生空隙。此外,表面的不平度很可能增加,这导致在于腐蚀上金属布线时产生金属腐蚀残留物和使上布线本身中断。
因此,需要在微小布线之间掩埋低介电常数绝缘膜和使表面平坦化的方法。下面描述的方法是这种方法的常规方法。
第一种常规方法由Furusawa等人在Symposium on VLSITechnology(1995年)上进行了报道。该方法使用具有夹置结构的层间绝缘膜,在该结构中用介电常数为4.5的上PE-TEOS膜和下PE-TEOS膜夹置具有3.0的低介电常数的有机SOG(旋涂玻璃)膜。其中,在没有深腐蚀的条件下(不进行深腐蚀工艺),有机SOG膜被留在整个表面上。这样,有在通孔侧壁露出有机SOG膜的结构。
图1A-1C是展示用于制造半导体器件的第一常规方法顺序步骤的剖面图。首先,如图1A所示,在硅衬底601的整个表面上形成绝缘膜602,然后利用光刻和干腐蚀法形成主要由铝构成的金属膜的下布线603a-603c。在这些布线上,薄薄地形成作为接触层的PE-TEOS膜的第一二氧化硅膜604。然后再在其上,用涂敷烘焙(coating-baking)法形成介电常数为3.0的含有机物的SOG(有机物SOG)膜605。最后,在整个表面上,薄薄地形成PE-TEOS膜的第二二氧化硅膜606。这样,便形成由第一二氧化硅膜604、有机物SOG605和第二二氧化硅膜606的三层构成的层间介质膜615。
然后,如图1B所示,用光刻和干腐蚀法,使用光刻胶607作掩模形成通孔608a、608b。当用氧等离子体除去光刻胶607时,使用下述方法。第一步骤是,在1.2毫乇的低压下,用氧反应离子腐蚀法,使暴露于通孔608a、608b侧壁上的有机SOG膜605表面玻璃化(vitrified)。第二步骤是,在1乇的低压下,用氧磨除光刻胶607。特别地将该步骤示于图1B中。最后,为了完全地除去光刻胶残留物,进行湿式光刻胶清除。按照上述步骤,在层问介质膜615中形成预定的通孔608a、608b。
最后,如图1C所示,用溅射法,在整个表面上形成钛膜610和氮化钛膜611。然后,用热CVD(化学汽相淀积)法,形成钨膜612。
在日本专利申请公开8-107149(1996年)中公开了第二种常规方法。在该文献中,第一和第三实施例用于涂敷含有机物系(coating-systemorganic-contained)的绝缘膜。图2A-2C是展示第二常规方法各顺序步骤的剖面图,该各步骤特别对应于公开文献中的第三实施例。第一和第三实施例之间的差别是是否将第一氧化物上层704形成在金属导体703之上。两个实施例都用了具有由氧化物衬里706、低介电常数膜708和第二氧化物上层709的三层组成的夹置结构的层间介质膜710。其特征点在于形成金属导体703时基层绝缘膜702被挖去,和在布线侧面形成的氧化物衬里706薄于形成于布线表面上的氧化物衬里。由于这些原因,可将足够量的低介电常数膜708掩埋在布线之间,从而减小布线之间的寄生电容。下面参照图2A-2C说明该方法。
首先,如图2A所示,在硅衬底701的整个表面上形成绝缘膜702,然后利用光刻和干腐蚀法,将主要由铝铜合金构成的金属膜和其上的氧化层形成为第一氧化物上层704和金属导体703。在该步骤中,绝缘膜702被挖掉约100nm。在其上形成PE-TEOS膜的氧化物层706。在该步骤中,在布线侧面形成比布线表面上的氧化物衬里薄的氧化物衬里706。
然后,如图2B所示,用涂敷烘焙法形成有机SOG的低介电常数膜707。
接着,如图2C所示,深腐蚀低介电常数膜707达到指定时间,直到低介电常数膜707低于氧化物衬里706的表面,于是在金属导体之间形成低介电常数膜708。然后,在整个表面上形成PE-TEOS膜709的第二氧化物上层。结果,由氧化物衬里706、低介电常数膜708和第二氧化物上层709这三层组成层间介质膜710。
可是,在第一常规方法中,如图1B所示,当用氧等离子体609磨除光刻胶607时,暴露于通孔608a、608b侧壁的有机SOG膜605极有可能收缩或后退(contract or retreat)。当使用有机SOG膜时,需要增加有机成分的含量以减小介电常数。可是,当增加有机成分的含量时,在有机SOG膜表面将被玻璃化的成分减小,因而使抗氧等离子体腐蚀的特性变差。这就是产生上述现象的原因。
此外,在第一常规方法中,如图1C所示,当在整个表面上形成钨膜612时,很可能在通孔608a、608b中产生空隙613a、613b。这是称为中毒(poisoned)通孔的缺陷。  出现这种现象的第一个原因是,当形成钨膜612时吸收的水从有机SOG膜605排出。此外,第二个原因是,由于有机SOG膜605的收缩或后退,当通过溅射形成钛膜610和氮化钛膜611时不能在通孔的侧壁形成它们。
下面进一步详细说明第一常规方法中的上述问题。一般来说,有机SOG膜有作为基质的二氧化硅并包括有机成分,有机SOG膜的密度低于二氧化硅膜,其介电常数低于二氧化硅膜的介电常数(ε=3.9)。随着有机成分含量增加,有机SOG膜的介电常数减小。此外,由于有机SOG膜具有有机成分,因而它具有疏水性,尽管它是多孔的,但具有低于无机SOG膜的吸水性。因此,正常情况下,不发生因吸湿所引起的介电常数的增加。可是,当通过氧等离子体处理,氧化有机成分得到无机二氧化硅膜时,它开始吸收湿气。因而,对于具有低介电常数和大量有机成分的有机SOG膜来说,当用光刻和干腐蚀法在层间介质膜中形成通孔,然后为清除光刻胶进行氧等离子体磨除处理时,暴露在通孔侧壁的有机SOG膜的有机成分被氧化和清除。随着氧化的进行,暴露于侧壁的有机SOG膜收缩或后退。并且,收缩的有机SOG膜在通孔近旁被无机化(inorganized)并开始吸收湿气。此后,当用溅射法形成上布线时,在通孔处吸收的水从有机SOG膜蒸发,使体积膨胀,引发中毒通孔缺陷。
在第一常规方法中,通过氧反应离子腐蚀使暴露于通孔侧壁的有机SOG膜玻璃化(无机化),可抑制中毒通孔缺陷的产生。可是,为了增加有机成分的含量,减小介电常数,使玻璃化的成分减少,于是招致上述问题。
此外,在第一常规方法中,当如图1C所示在整个表面上形成钨膜612时,因在大面积部分(有宽布线间隔的部分)中形成较厚的有机SOG膜,因而很有可能在层间介质膜615中产生裂缝614。这是由于用涂敷烘焙法形成的有机SOG膜605每当进行例如覆盖的钨生长或退火的约400℃的热处理时就收缩,因而产生内部的应力。整个芯片的平面化取决于有机SOG膜605的涂敷特性,并需要增厚有机SOG膜605获得充分的平面化。可是,随着有机SOG膜605厚度的增加,内部应力(拉伸压力)也增加。当该内部应力大于一定的值时,便产生裂缝614。尤其是在多层结构的情况下该问题变得更明显。
在第二常规方法中,如图2C所示,对有机SOG膜707用深腐蚀法难以获得充分的平面。这是由于为了从通孔形成区完全清除有机SOG膜707,需要过腐蚀以避免如上所述的中毒通孔缺陷。亦即,作为有机SOG膜的涂敷特性,必须在大面积金属导体703上形成具有与没有金属导体存在的区域相同厚度的有机SOG膜。因基层氧化物衬里难以腐蚀,因而为了完全清除金属导体上的有机SOG膜引发平面化的劣化。进一步的理由是,当在布线侧面仅形成比布线表面上的氧化物衬里薄的氧化物衬里706时,在深腐蚀中必须腐蚀在微小布线之间的有机SOG膜707。
并且,当将第一和第二常规方法用于多层结构中时,难以获得整个芯片的平面化,形成微小结构的布线和通孔。这是由于作为有机SOG膜涂敷特性,与没有金属导体存在的区域厚度相同的有机SOG膜必须在大面积金属导体上形成。因此,大面积金属导体的独立台阶保持原样,当台阶随布线层数从两层增加到三层或更多层而积累时,独立的台阶可超过光刻的聚焦裕度。在这种情况下,不可能形成微小结构的布线和通孔。
因此,本发明的目的在于提供一种可减小微小布线之间的电容从而提高工作速度和获得其芯片平面化的半导体器件的制造方法。本发明方法的特征在于,具有低介电常数的含有机物涂层的绝缘膜仅被掩埋入微小布线之间。
按照本发明,制造半导体器件的方法包括以下步骤:
步骤(A),在半导体衬底上形成绝缘膜,并在绝缘膜上形成多个下布线;
步骤(B),利用等离子体增强化学汽相淀积法,形成具有倒悬形式的第一绝缘膜,覆盖下布线和绝缘膜表面;
步骤(C),利用旋涂法,在第一绝缘膜上形成包含有机物的涂敷绝缘膜;
步骤(D),烘焙包含有机物的涂敷绝缘膜;
步骤(E),利用干腐蚀法,深腐蚀包含有机物的涂敷绝缘膜的一部分;
步骤(F),利用等离子体增强化学汽相淀积法,在第一绝缘膜和包含有机物的涂敷绝缘膜上形成第二绝缘膜;
步骤(G),利用化学机械抛光法抛光第二绝缘膜,使其表面平面化。
此外,制造半导体器件的方法最好在步骤(G)之后还包括:步骤(H),腐蚀第一绝缘膜和第二绝缘膜的预定部分,形成达到下布线的孔;和
步骤(I),将金属材料掩埋进孔中。
在本发明的步骤(B)中,利用等离子体增强化学汽相淀积法,淀积第一绝缘膜以形成倒悬。“形成倒悬”意指使侧壁形成倒悬。倒悬形式是,例如图3B中的第一绝缘膜(第一二氧化硅膜)。亦即,它是在相邻下布线107之间顶部的间隔窄于底部的间隔从而开口窄的形式。按照这样的形式,当在步骤(E)中深腐蚀包含有机物的涂敷绝缘膜109时获得图3D中的结构。因而,可获得下面的效果。
由于窄开口,形成于窄的微小布线之间的具有低介电常数的包含有机物的涂敷绝缘膜109因微型负载效应被保留下来而不被腐蚀和清除(图3D)。尤其是对于具有窄布线间隔的部分和在布线之间具有高纵横比的部分,如前所述因其RC(时间常数)基本上都是较高的,因而很有必要填充低介电常数的层间绝缘膜。本发明满足了这种需求。同时,还在具有宽布线间隔的部分,保留具有某一厚度的包含有机物的涂敷绝缘膜109(图3D)。
通过腐蚀清除形成于第一绝缘膜108上的包含有机物的涂敷绝缘膜109(图3D)。因此,第二绝缘膜(第二二氧化硅膜111)被直接淀积在第一绝缘膜108上(图3E)。这样,当在步骤(H)中腐蚀第一和第二绝缘膜制备孔时,第二二氧化硅膜111暴露于孔的侧壁,而有机SOG膜不被暴露(图3F)。在常规方法中,如图1B所示露出有机SOG膜605,因而露出的表面肯定被全部腐蚀,这引起将金属掩埋进孔中的特性降低。本发明给出了对该问题的解决方案,因而可改善掩埋特性。
在具有宽布线间隔的部分,如图3D所示,有机SOG膜605仅形成于侧壁(110a、110b和110c)。在常规方法中,这样的部分被有机SOG膜完全掩埋,有机SOG膜形成得较厚,从而引起裂缝(图1C)。本发明还给出了对这一问题的解决方案。
在本发明中,为了得到上述效果,最好在步骤(E)中这样进行深腐蚀,使形成在第一绝缘膜上的包含有机物的涂敷绝缘膜基本上被完全清除。
按照本发明的另一方面,制造半导体器件的方法包括下列步骤:
步骤(A),在半导体衬底上形成绝缘膜,并在绝缘膜上形成多个下布线;
步骤(B),利用等离子体增强化学汽相淀积法,形成具有倒悬形式的第一绝缘膜,覆盖下布线和绝缘膜的表面;
步骤(C),利用旋涂法,在第一绝缘膜上形成第一包含有机物的涂敷绝缘膜;
步骤(D),通过旋转清除法,清除第一包含有机物的涂敷绝缘膜的一部分;
步骤(E),烘焙第一包含有机物的涂敷绝缘膜;
步骤(F),利用旋涂法,在第一绝缘膜和第一包含有机物的涂敷绝缘膜上形成第二包含有机物的涂敷绝缘膜;
步骤(G),烘焙第二包含有机物的涂敷绝缘膜;
步骤(H),利用干腐蚀法,深腐蚀第一包含有机物的涂敷绝缘膜和第二包含有机物的涂敷绝缘膜的一部分;
步骤(I),利用等离子体增强化学汽相淀积法,在第一绝缘膜、第一包含有机物的涂敷绝缘膜和第二包含有机物的涂敷绝缘膜上形成第二绝缘膜;和
步骤(J),利用化学机械抛光法抛光第二绝缘膜,使其表面平面化。
此外,制造半导体器件的方法最好在步骤(J)之后还包括:步骤(K),腐蚀第一绝缘膜和第二绝缘膜的预定部分,形成达到下布线的孔;和
步骤(L),将金属材料掩埋进孔中。
本发明上述方面的特征在于,当用包含有机物的涂敷绝缘膜涂敷时重复进行旋涂和清除。该方法对于一次旋涂很可能留下微小布线之间的空隙的情况尤其有效。在微小布线之间很可能留下空隙的情况例如是,当微小布线之间的开口极小或使用具有很差的掩埋特性的包含有机物的涂敷绝缘膜时的情况。在本发明中,在包含有机物的涂敷绝缘膜的第一次和第二次旋涂或更后的旋涂之间,可改变用于包含有机物的涂敷绝缘膜的溶液浓度。当固体含量较低时,尽管可每次减小涂敷的膜厚,但掩埋特性仍可提高。这样,当第一次涂敷时溶液浓度减小,掩埋特性仍可提高。
在本发明中,为了得到上述效果,最好这样进行步骤(H)中的深腐蚀,使形成在第一绝缘膜上的包含有机物的涂敷绝缘膜基本上被完全清除。
在本发明中,用旋涂法形成包含有机物的涂敷绝缘膜。该旋涂方法这样进行,即水平地设定硅衬底,用真空吸盘吸附硅衬底,在衬底表面上滴液,该液体中用醇或甲酮溶解包含有机物的涂敷绝缘膜材料的溶液,然后旋转衬底。这样,在衬底表面上均匀地形成包含有机物的涂敷绝缘膜。通过设定旋转数和时间控制膜厚。对于有机SOG膜,所含的材料是作为基体的二氧化硅,并包括分子中有有机系支链的例如甲烷基(methyl)族、乙基(ethyl)族和苯芬(phenol)族。随着二氧化硅含量减小,介电常数减小。这样进行旋转清除法,即在对用旋涂法形成的包含有机物的涂敷绝缘膜进行热处理之前,用溶剂去除包含有机物的涂敷绝缘膜,同时旋转衬底。
通常这样进行烘焙包含有机物的涂敷绝缘膜,即通过在200℃或200℃以下于热板式炉上的热处理,来蒸发溶剂,然后在热处理炉中于350℃或350℃以上进行热处理,使包含有机物的涂敷绝缘膜致密和固化。在热板式炉上的热处理可以分为几个步骤,其温度逐步升高。
在本发明中,在利用等离子体增强化学汽相淀积法,形成具有倒悬形式的第一绝缘膜,覆盖下布线和绝缘膜表面的步骤(B)和利用旋涂法,在第一绝缘膜上形成包含有机物的涂敷绝缘膜的步骤(C)之间,插入通过溅射腐蚀第一绝缘膜的步骤。
由于插入了腐蚀步骤,如图3B所示,基层绝缘膜102被挖下,从而可将足够量的低介电常数材料(有机SOG膜)掩埋进布线之间。这样,可减小寄生电容。
此外,在本发明中,在步骤(B)中形成第一绝缘膜的等离子体增强化学汽相淀积法最好用甲硅烷(SiH4)和氧或含氧的化合物作为原料。例如,用SiH4和O2作为原料,用等离子体CVD法淀积二氧化硅膜。从而第一二氧化硅膜可容易地形成倒悬。并且,还用对硅衬底施加RF功率的高密度的等离子体CVD法,使预定形式的倒悬甚至在细微布线之间被形成。
在本发明中,第一二氧化硅膜可以是二氧化硅膜,其表面被硝化的二氧化硅膜,氮氧化硅膜等。对于其表面被硝化的二氧化硅膜和氮氧化硅膜来说,可提高在深腐蚀包含有机物的涂敷绝缘膜的步骤中作为基层的第一二氧化硅膜和包含有机物的涂敷绝缘膜之间的腐蚀选择性。这样,可获得具有细微布线间隔的多层布线结构。
本发明制造半导体器件的方法对于下布线间隔窄的情况特别有效。亦即,对于下布线间隔为0.5μm或0.5μm以下的情况尤其有效,并且对于下布线间隔为0.35μm或0.35μm以下的情况也有效。
下面将结合附图更详细地说明本发明,其中:
图1A-1C是展示第一常规方法的顺序步骤的剖面图;
图2A-2C是展示第二常规方法的顺序步骤的剖面图;
图3A-3G是展示本发明第一最佳实施例中制造半导体器件的方法的顺序步骤的剖面图;
图4A-4C是展示本发明第二最佳实施例中制造半导体器件的方法的顺序步骤的剖面图;
图5是展示本发明第三最佳实施例中制造半导体器件的方法的顺序步骤的剖面图;
图6是展示本发明第四最佳实施例中制造半导体器件的方法的顺序步骤的剖面图。
下面参照附图说明本发明的最佳实施例。
图3A-3G是展示本发明第一最佳实施例中制造半导体器件方法的顺序步骤的剖面图。
首先,如图3A所示,在硅衬底101上形成一定的半导体元件(未示出)之后,在整个表面上形成作为基层绝缘膜的例如BPSG(硼硅酸磷(borophosphosilicate)玻璃)。然后,通过RTA(快速热退火)退火形成绝缘膜102,并形成需要的接触孔(未示出)。接着,用溅射法获得顺序形成的厚约30nm的钛膜103、厚约100nm的氮化钛膜104、厚约450nm的铝铜合金膜105和厚约50nm的氮化钛膜106。在腐蚀下布线107a-107e之后,挖下约0.2μm的基层绝缘膜102(图3A)。使下布线107a-107e中的最小空间间隔为约0.3μm。对于这样的部分,在两个布线之间的空间的纵横比最大约为2.8。
然后,如图3B所示,在绝缘膜102和下布线107a-107e上,通过平行板等离子体CVD系统淀积约300 nm的第一二氧化硅膜(第一绝缘膜)108。在该步骤中,第一二氧化硅膜108的淀积条件是,使用SiH4和N2O作为原料,在相对的电极上施加13.56MHz的RF电压约0.8W/cm2。此外,使膜形成压力约为2.2乇,使N2O与SiH4的流量比约为20。并且,在生长膜期间衬底的温度保持在约400℃。在该阶段,在下布线107a-107e的微小布线之间,因第一二氧化硅膜108在下布线的肩部产生突出部,因而产生倒悬(overhang)。与使用TEOS(原硅酸四乙脂)作为原料的等离子体CVD法相比,使用SiH4作为原料且主要发生汽相反应的等离子体CVD法产生更大程度的倒悬。其中,在微小布线之间有意形成沟槽,以使倒悬不相互接触。通常,在实际的布线图形上形成绝缘膜并通过横截面SEM(二次电子图象)观察的确认来决定例如膜厚等条件。此外,在电路掩模设计阶段预先确定最小的布线间隔,并改变每次生成的条件。在该情况下,可打开沟槽的开口以便在后一阶段将包含有机物的涂敷绝缘膜109掩埋在其中。
然后,如图3C所示,在整个表面上涂敷并烘焙包含有机物的涂敷绝缘膜109。作为包含有机物的涂敷绝缘膜109,可使用从HitachiChemical Co.,Ltd.购买的有机SOG膜、HSG-2209S-R7。在涂敷之后,在热板式炉上在约140℃进行3分钟和约275℃进行3分钟的热处理。接着,使用热处理炉,在氮气气氛中于450℃进行1小时的热处理。包含有机物的涂敷绝缘膜109在第一二氧化硅膜108上具有极好的掩埋特性,从而可以均匀地掩埋进微小布线之间。在本实施例中,使该宽度为约500nm。同时,在包含有机物的涂敷绝缘膜中,有机成分的含量越高,就越能提高将其掩埋进微小布线之间的特性。其理由是,包含有机物的涂敷绝缘膜包括如CH3等有机成分,由于有机成分被基层二氧化硅膜排斥,因而愈加提高该掩埋特性,而在典型的无机系SOG膜中,由于分子端的末端是硅烷醇键合(Si·OH),因而可形成氢与基层二氧化硅膜键合,所以愈加降低掩埋特性。当有机成分的含量非常大时,产生取决于基层不均匀形式的SOG膜中的斑点。即使在这种情况下,包含有机物的涂敷绝缘膜也可留在微小布线之间,从而完全掩埋在其上。如上所述,由于尤其是对于窄布线间隔的部分,非常有必要填充低介电常数的SOG膜,其最好包括较多的例如-CH3等有机成分。同时,掩埋特性和湿润性也取决于固体含量。当固体含量较低时,尽管膜厚度可试着涂敷得较薄,但掩埋特性仍可提高。
在本实施例中,由于在图3A的步骤中挖去绝缘膜102并且第一二氧化硅膜108形成如图3B所示的倒悬,因而足够量的包含有机物的涂敷绝缘膜109可掩埋进微小布线之间。这样,可减小微小布线之间的介电常数。
然后,如图3D所示,深腐蚀包含有机物的涂敷绝缘膜109整个表面。在该步骤中,可通过将氧导入氟化碳系气体例如CF4中有选择地腐蚀包括有机成分的含有机物的涂敷的绝缘膜109。详细地说,使用平行板腐蚀系统,将C2F6、O2和He的混合气体大约按5∶1∶15的比例导入。按约240Pa的压力和约2W/cm2的功率,进行整个表面的深腐蚀。氧气流速与氟化碳系气体流速之比率越高,越容易腐蚀包含有机物的涂敷绝缘膜109。因此,在膜109与不腐蚀的第一二氧化硅膜108之间可获得高腐蚀选择性。随着包含有机物的涂敷绝缘膜109中的有机成分含量增加,腐蚀选择性增加。
此外,由于用基层第一二氧化硅膜108形成的倒悬的微小负载效应,在微小布线之间的包含有机物的涂敷绝缘膜110c、110d和110e不能深腐蚀。相反,大面积的包含有机物的涂敷绝缘膜(有宽布线间隔的部分)几乎都被腐蚀。结果,对于较大面积部分来说,仅在布线侧壁上留下包含有机物的涂敷绝缘膜110a、110b和110f。在这种情况下,可进行深腐蚀,以便在形成通孔的下布线上的包含有机物的涂敷绝缘膜能够被完全深腐蚀,并且当形成多层布线结构时在没有下布线的较大面积部分也不产生裂缝。
然后,如图3E所示,使用平行板等离子体CVD系统,用TEOS和O2作为原料,在第一二氧化硅膜108和包含有机物的涂敷绝缘膜110a-110f上淀积厚约1.6μm的第二二氧化硅膜111。其中,第二二氧化硅膜111淀积得高于下布线107a-107e的上表面,以便不留下任何“孔”。
然后,如图3F所示,用CMP(化学机械抛光)法,为使其表面平面化抛光第二二氧化硅膜111。在该步骤中,进行平面化抛光,使在下布线107a-107e上的第一二氧化硅膜108和第二二氧化硅膜111的总厚度保留约800nm。然后,在氮气氛中,进行10分钟约400℃的热处理,形成平面化的由第一二氧化硅膜108、包含有机物的涂敷绝缘膜110a-110f和第二二氧化硅膜111组成的层间介质膜120。然后,用光刻法和干腐蚀法,在下布线107a和107d上形成通孔113a和113b。在该步骤,完全除去通孔底部的氮化钛膜106,露出铝铜合金膜105表面。
最后,如图3G所示,用溅射法在整个表面上形成钛膜114和氮化钛膜115之后,用CVD法在整个表面上淀积钨膜,并进行整个表面的深腐蚀,从而获得钨膜116a和116b仅被掩埋进通孔113 和113b中的状态。然后,在用溅射法形成铝铜合金膜117和氮化钛膜118之后,用光刻法和干腐蚀法形成上布线119。由此,可获得有两层布线结构的半导体器件。在所获得的半导体器件中,通孔缺陷和裂缝缺陷都未能发现。
尽管在该实施例中,如图3B所示,用SiH4和N2O作为原料和通过平行板等离子体CVD系统,淀积第一二氧化硅膜108,但也可以用形成有倒悬的二氧化硅膜的另一种方法。例如,用SiH4和O2作为原料和通过高密度等离子体CVD系统,淀积二氧化硅膜。在这种情况下,淀积条件是对硅衬底101施加约1.0W/cm2的13.56MHz的RF电压。此外,RF电源约3000W,压力约6毫乇,O2与SiH4的流束比率约为2.0。在这种情况下,还可在微小布线之间形成有倒悬的二氧化硅膜。
尽管在该实施例中,如图3B所示,在微小布线之间的顶部并不接触,但即使在顶部相互接触从而引起布线之间的空隙时也不存在问题。如果顶部相互接触,那么进行Ar溅射腐蚀,以打开微小布线之间沟槽。例如以如下方式进行Ar溅射腐蚀:通过平行板等离子体处理系统导入Ar,在腔内压力约20毫乇时,将约13.56MHz的RF电压按约1.0W/cm2的强度施加给衬底电极侧。并且,导入氟化碳系气体例如CF4可进行反应离子深腐蚀。在这种情况下,在整个表面上发生深腐蚀,这引起整个表面上的膜损失。
尽管在该实施例中,如图3E所示,用TEOS和O2作为原料和通过平行板等离子体CVD系统,淀积第二二氧化硅膜,但也可以用不留下任何“孔”的另一种方法。例如,第二二氧化硅膜111可淀积SiH4、O2和Ar作为原料和通过高密度等离子体CVD系统对衬底施加RF电压。这种淀积条件的例子说明如下。对硅衬底101施加13.56MHz的RF电压。此外,RF电源约为3000W,施加给衬底的RF功率约7.5W/cm2,压力约6毫乇,O2与SiH4的流束比率约为1.4。
图4A-4C是展示本发明第二最佳实施例中半导体器件制造方法的顺序步骤的剖面图。
该实施例的特征在于用包含有机物的涂敷绝缘膜涂敷时重复旋涂和清除。本实施例的方法对于一次(one try)旋涂必须保留微小布线之间空隙的情况,例如当基层第一二氧化硅膜108中的微小布线之间的开口非常小时,或包含有机物的涂敷绝缘膜301的掩埋特性差时,是有效的方式。详细地说,在基层第一二氧化硅膜108中的微小布线之间的开口小至500nm或更小,或包含有机物的涂敷绝缘膜301的粘度高的情况下,它是有效的。
在本实施例中,首先,进行与图3A和3B所示的相同工艺。亦即,通过图3A中所示的相同工艺,形成基层微小布线和第一二氧化硅膜。
然后,如图4A所示,通过旋涂在整个表面上形成包含有机物的涂敷绝缘膜301。作为包含有机物的涂敷绝缘膜301,使用可从Allied SignalCo.Ltd.购买的有机绝缘膜FLARE1.0(氟化聚芳基醚(fluirinated polyarylether))。在涂敷阶段,产生空隙302a、302b和302c。如果用热板式炉和炉子进行烘焙,那么它们将按原样保留下来。因此,如图4B所示,正好在用包含有机物的涂敷绝缘膜301进行涂敷之后,进行用溶剂的旋转清除。尽管作为旋转清除的特征,在微小布线之间包含有机物的涂敷绝缘膜不能被清除,可清除在宽图形上包含有机物的涂敷绝缘膜或没有图形的部分。因此,在微小布线之间留下各第一包含有机物的涂敷绝缘膜303d、303e和303f。另一方面,在没有下布线的宽并且平坦的部分,在下布线107a、107b和107e的侧壁角留下第一包含有机物的涂敷绝缘膜303a、303b、303c和303g。如果即使进行一次旋涂和旋转清除,在微小布线之间留下空隙,那么要几次重复旋涂和旋转清除,来完全清除空隙。
在如上所述进行旋涂之后,在约80℃进行1分钟、在约110℃进行1分钟和在约150℃进行1分钟热处理。然后用在室温下进炉和出炉的热处理炉子,在氮气气氛中于400℃进行1小时热处理。
然后,如图4C所示,在整个表面上通过涂敷并烘焙形成第二包含有机物的涂敷绝缘膜304。作为第二包含有机物的涂敷绝缘膜304,使用可从Schumacker Co.Ltd.商业购买的有机绝缘膜PAE2(聚芳基醚(poly arylether))。在涂敷之后,在热板式炉上于约80℃进行2分钟和约280℃进行2分钟热处理。然后,用处理炉,在含20%氧的氮气气氛中于425℃下进行20分钟热处理。在这个阶段,示于图3C的第一实施例中的包含有机物的涂敷绝缘膜109对应于图4C中第一包含有机物的涂敷绝缘膜303a-303g和第二包含有机物的涂敷绝缘膜304的两层。
即使使图4B中包含有机物的涂敷液的固体含量相当小,也可获得如上所述的相同效果。在这种情况下,尽管由于涂敷液性能,因基底图形产生涂敷的非均匀性,但在微小布线之间仍可靠地保留第一包含有机物的涂敷绝缘膜303d、303e和303f。并且,在这种情况下,第二包含有机物的涂敷绝缘膜304最好有包含有机物的涂敷液的固体含量的正常值。亦即,第一包含有机物的涂敷绝缘膜303a-303g的固体含量最好与第二包含有机物的涂敷绝缘膜304的固体含量不同。
然后,通过与第一实施例中图3D-3G所示类似的处理,获得具有两层布线结构的半导体器件。
本发明的第三实施例是要在深腐蚀包含有机物的涂敷绝缘膜的工艺中,提高基层第一绝缘膜和包含有机物的涂敷绝缘膜之间的腐蚀选择性。在该实施例中,通过基层第一二氧化硅膜表面氮化获得腐蚀选择性的提高。
图5是展示在进行与图3A和3B所示工艺相同的处理之后的工艺的剖视图。亦即,用与图3A中工艺相同的工艺形成基层微小布线,用与图3B中工艺相同的工艺形成第一二氧化硅膜。本实施例的特征在于,图5中的工艺被插在图3B和3C的工艺之间。
在该实施例中,在图3A和3B所示的工艺处理之后,如图5所示,通过氮等离子体401氮化第一二氧化硅膜的整个表面,得到硝化的第一二氧化硅膜402。氮等离子体产生条件是用感应耦合等离子体产生系统,约10毫乇的压力、约200sccm的氮气(N2)流速和3000W的功率。对硅衬底施加约0.3W/cm2的2MHz的RF功率。此外,处理时间约300sec(秒)。在这些条件下,氮化硅衬底约为5nm。对于用等离子体CVD生长法形成的二氧化硅膜,在最上表面部分氮气浓度高,随沿深度方向的推进该浓度减小。结果,氮化从表面至约10nm的部分。
然后,象图3C中工艺那样,在整个表面上形成包含有机物的涂敷绝缘膜,然后深腐蚀包含有机物的涂敷绝缘膜的整个表面。在这种情况下,由于基层二氧化硅膜表面被氮化,因而有干腐蚀速率低于在非氮化二氧化硅膜中的干腐蚀速率的优点。由于该优点,当深腐蚀时在基层二氧化硅膜中的膜损失减少。这样,本实施例中的方法对极窄的微小布线特别有效。尽管担心由氮化引起的介电常数的增加,但因仅氮化了基层二氧化硅膜表面,所以未氮化在微小布线之间的侧壁。因此,在微小布线之间的介电常数不增加。
然后,通过类似于第一实施例中图3D-3G所示的工艺,可获得有两层布线结构的半导体器件。在所获得的半导体器件中,通孔缺陷和裂缝缺陷都未发现。
本发明的第四最佳实施例是要在深腐蚀包含有机物的涂敷绝缘膜的工艺中提高基层第一绝缘膜与包含有机物的涂敷绝缘膜之间的腐蚀选择性。在该实施例中,用氮氧化硅膜代替第一二氧化硅膜作为基层第一绝缘膜,实现了腐蚀选择性的提高。
图6是展示在进行与图3A相同的工艺之后的工艺的剖面图。亦即,用与图3A相同的工艺形成基层微小布线。然后,如下那样进行图6所示的工艺。即,用平行板等离子体CVD系统,在绝缘膜102和下布线107a-107e上淀积约300nm的氮氧化硅膜501。其中,氮氧化硅膜501的淀积条件是,用SiH4、NH3、N2O和N2作为原料,施加给衬底侧电极约0.2W/cm2的250 KHz的RF电压,并对相对的电极施加约0.4W/cm2的13.56MHz的RF电压。此外,膜形成压力约为2乇,NH3、N2O和N2与SiH4的流速比约为1∶6∶1.5∶4。并且,在生长膜的工艺中衬底温度保持约400℃。在这些条件下,获得有约1.84折射率的氮氧化硅膜501、在加压侧上约1.0×109 dyne/cm2的膜应力和良好的均匀性。在该阶段,在下布线107a-107e的微小布线之间,因氮氧化硅膜501在下布线的肩部产生突出部,因而产生倒悬。在二氧化硅膜中包括氮有这样的优点,在深腐蚀包含有机物的涂敷绝缘膜的后一工艺中的干腐蚀速率低于在非氮化二氧化硅膜中的干腐蚀速率。由于该优点,当深腐蚀时在基层二氧化硅膜中的膜损失减少,因而允许第一二氧化硅膜形成得更薄。此外,由于在深度方向上膜本身更均匀,因而可将该方法用于甚至具有比第三实施例中布线间隔还要窄的间隔的微小布线。可是,由于微小布线之间的侧壁也由氮氧化硅膜构成,因而与第三实施例中的情况相比,在微小布线之间的介电常数稍有增加。
在用CVD等离子体法用SiH4作为原料形成氮氧化硅膜中,减小N2O的流速比率引起氮氧化硅膜的氮含量增加,从而得到在通过用SiH4作为原料的等离子体CVD法获得的二氧化硅膜中更大程度的倒悬形式。因此,其优点是可获得难以在微小布线之间的侧壁上淀积的氮氧化硅膜。
然后,象图3C中的工艺那样,在整个表面上形成包含有机物的涂敷绝缘膜,然后深腐蚀包含有机物的涂敷绝缘膜整个表面。接着,通过与第一实施例中图3D-3G所示工艺相类似的工艺,获得具有两层布线结构的半导体器件。在所获得的半导体器件中,通孔缺陷和裂缝都未发现。
尽管上述实施例仅讨论了两层的结构,显然对三层或三层以上的结构也是适用的。
按照以上的说明,在制造本发明半导体器件的方法中,由于通过等离子体CVD法使第一二氧化硅膜形成倒悬,因而具有低介电常数的包含有机物的涂敷绝缘膜可被仅掩埋进微小布线之间。因此,在通孔侧壁不露出有机绝缘膜,可有效地防止中毒通孔的产生。此外,由于仅在具有宽布线间隔的区域中的侧壁形成有机SOG膜,因而可获得良好的抗裂缝特性。
此外,由于用CMP法可获得芯片的充分平面化,因而不必提供聚焦裕度。因此,与常规方法相比,可形成更细的布线和通孔。
尽管参照具体实施例已完整并清楚地公开了本发明,但所附权利要求并不受实施例的限制,本领域技术人员可对本发明的实施方式进行修改和改变而不会脱离本发明的范围。

Claims (20)

1.一种制造半导体器件的方法,包括下列步骤:
步骤(A),在半导体衬底上形成绝缘膜,然后在所述绝缘膜上形成多个下布线;
步骤(B),利用等离子体增强化学汽相淀积法,形成具有倒悬形式的第一绝缘膜,覆盖所述下布线和所述绝缘膜表面;
步骤(C),利用旋涂法,在所述第一绝缘膜上形成包含有机物的涂敷绝缘膜;
步骤(D),烘焙所述包含有机物的涂敷绝缘膜;
步骤(E),利用干腐蚀法,深腐蚀部分所述包含有机物的涂敷绝缘膜;
步骤(F),利用等离子体增强化学汽相淀积法,在所述第一绝缘膜和所述包含有机物的涂敷绝缘膜上形成第二绝缘膜;和
步骤(G),利用化学机械抛光法抛光所述第二绝缘膜,使其表面平面化。
2.根据权利要求1所述的制造半导体器件的方法,其特征在于,在所述步骤(G)之后还包括:
步骤(H),腐蚀所述第一绝缘膜和所述第二绝缘膜的预定部分,形成达到所述下布线的孔;和
步骤(I),将金属材料掩埋进所述孔中。
3.根据权利要求1所述的制造半导体器件的方法,其特征在于,这样进行所述步骤(E)中的所述深腐蚀,使在所述形成有通孔的下布线上形成的所述包含有机物的涂敷绝缘膜基本上被完全清除。
4.根据权利要求2所述的制造半导体器件的方法,其特征在于,这样进行所述步骤(E)中的所述深腐蚀,使在形成有通孔的所述下布线上形成的所述包含有机物的涂敷绝缘膜基本上被完全清除。
5.一种制造半导体器件的方法,包括下列步骤:
步骤(A),在半导体衬底上形成绝缘膜,并在所述绝缘膜上形成多个下布线;
步骤(B),利用等离子体增强化学汽相淀积法,形成具有倒悬形式的第一绝缘膜,覆盖所述下布线和所述绝缘膜的表面;
步骤(C),利用旋涂法,在所述第一绝缘膜上形成第一包含有机物的涂敷绝缘膜;
步骤(D),通过旋转清除法,清除所述第一包含有机物的涂敷绝缘膜的一部分;
步骤(E),烘焙所述第一包含有机物的涂敷绝缘膜;
步骤(F),利用旋涂法,在所述第一绝缘膜和所述第一包含有机物的涂敷绝缘膜上形成第二包含有机物的涂敷绝缘膜;
步骤(G),烘焙所述第二包含有机物的涂敷绝缘膜;
步骤(H),利用干腐蚀法,深腐蚀所述第一包含有机物的涂敷绝缘膜和所述第二包含有机物的涂敷绝缘膜的一部分;
步骤(I),利用等离子体增强化学汽相淀积法,在所述第一绝缘膜、所述第一包含有机物的涂敷绝缘膜和所述第二包含有机物的涂敷绝缘膜上形成第二绝缘膜;和
步骤(J),利用化学机械抛光法抛光所述第二绝缘膜,使其表面平面化。
6.根据权利要求5所述的制造半导体器件的方法,其特征在于,在所述步骤(J)之后还包括:
步骤(K),腐蚀所述第一绝缘膜和所述第二绝缘膜的预定部分,形成达到所述下布线的孔;和
步骤(L),将金属材料掩埋进所述孔中。
7.根据权利要求5所述的制造半导体器件的方法,其特征在于,这样进行所述步骤(H)中的所述深腐蚀,使形成有通孔的所述下布线上形成的所述包含有机物的涂敷绝缘膜基本上被完全清除。
8.根据权利要求6所述的制造半导体器件的方法,其特征在于,这样进行所述步骤(H)中的所述深腐蚀,使形成有通孔的所述下布线上形成的所述包含有机物的涂敷绝缘膜基本上被完全清除。
9.根据权利要求1所述的制造半导体器件的方法,其特征在于,在所述步骤(B)和所述步骤(C)之间还包括通过溅射法腐蚀所述第一绝缘膜的步骤。
10.根据权利要求2所述的制造半导体器件的方法,其特征在于,在所述步骤(B)和所述步骤(C)之间还包括通过溅射法腐蚀所述第一绝缘膜的步骤。
11.根据权利要求5所述的制造半导体器件的方法,其特征在于,在所述步骤(B)和所述步骤(C)之间还包括通过溅射法腐蚀所述第一绝缘膜的步骤。
12.根据权利要求6所述的制造半导体器件的方法,其特征在于,在所述步骤(B)和所述步骤(C)之间还包括通过溅射法腐蚀所述第一绝缘膜的步骤。
13.根据权利要求1所述的制造半导体器件的方法,其特征在于,在所述步骤(B)中形成所述第一绝缘膜的等离子体增强化学汽相淀积法用甲硅烷(SiH4)和氧或含氧的化合物作为原料。
14.根据权利要求5所述的制造半导体器件的方法,其特征在于,在所述步骤(B)中形成所述第一绝缘膜的等离子体增强化学汽相淀积法用甲硅烷(SiH4)和氧或含氧的化合物作为原料。
15.根据权利要求1所述的制造半导体器件的方法,其特征在于,所述第一绝缘膜是其表面被硝化的二氧化硅膜。
16.根据权利要求5所述的制造半导体器件的方法,其特征在于,所述第一绝缘膜是其表面被硝化的二氧化硅膜。
17.根据权利要求1所述的制造半导体器件的方法,其特征在于,所述第一绝缘膜是氮氧化硅膜。
18.根据权利要求5所述的制造半导体器件的方法,其特征在于,所述第一绝缘膜是氮氧化硅膜。
19.根据权利要求1所述的制造半导体器件的方法,其特征在于,所述下布线的最小间隔为0.5μm或0.5μm以下。
20.根据权利要求5所述的制造半导体器件的方法,其特征在于,所述下布线的最小间隔为0.5μm或0.5μm以下。
CN99102968A 1998-03-11 1999-03-11 制造半导体器件的方法 Pending CN1229273A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP05969098A JP3362662B2 (ja) 1998-03-11 1998-03-11 半導体装置の製造方法
JP059690/1998 1998-03-11

Publications (1)

Publication Number Publication Date
CN1229273A true CN1229273A (zh) 1999-09-22

Family

ID=13120471

Family Applications (1)

Application Number Title Priority Date Filing Date
CN99102968A Pending CN1229273A (zh) 1998-03-11 1999-03-11 制造半导体器件的方法

Country Status (5)

Country Link
US (1) US6271119B1 (zh)
JP (1) JP3362662B2 (zh)
KR (1) KR100346294B1 (zh)
CN (1) CN1229273A (zh)
TW (1) TW396509B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1314100C (zh) * 2001-11-07 2007-05-02 株式会社日立制作所 半导体器件的制造方法和半导体器件
CN101193618B (zh) * 2005-06-10 2011-02-02 株式会社太平洋 具有防水薄层的表面修饰粉末的制备方法
CN109683412A (zh) * 2019-01-29 2019-04-26 深圳市华星光电技术有限公司 阵列基板

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6472307B1 (en) * 2000-01-27 2002-10-29 Agere Systems Guardian Corp. Methods for improved encapsulation of thick metal features in integrated circuit fabrication
JP2001358216A (ja) * 2000-06-16 2001-12-26 Mitsubishi Electric Corp 半導体装置の製造方法、半導体装置の製造方法に使用する埋め込み材料および半導体装置
KR100744104B1 (ko) * 2001-06-01 2007-08-01 주식회사 하이닉스반도체 콘택 형성 방법
US7026172B2 (en) * 2001-10-22 2006-04-11 Promos Technologies, Inc. Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches
KR100869357B1 (ko) * 2002-05-17 2008-11-19 주식회사 하이닉스반도체 공극 발생을 최소화할 수 있는 반도체소자 제조방법
KR100471411B1 (ko) * 2002-06-29 2005-03-10 주식회사 하이닉스반도체 플러그 심을 억제할 수 있는 반도체소자 제조방법
JP2004128256A (ja) 2002-10-03 2004-04-22 Oki Electric Ind Co Ltd 多層構造半導体素子の製造方法
US7153776B2 (en) 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
JP2005150151A (ja) * 2003-11-11 2005-06-09 Seiko Epson Corp 半導体装置の絶縁膜形成方法及び半導体装置
JP4617824B2 (ja) * 2004-10-22 2011-01-26 ソニー株式会社 液体吐出ヘッド、液体吐出装置及び液体吐出ヘッドの製造方法
KR100567531B1 (ko) * 2004-11-24 2006-04-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4793927B2 (ja) 2005-11-24 2011-10-12 東京エレクトロン株式会社 基板処理方法及びその装置
JP2008294123A (ja) * 2007-05-23 2008-12-04 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US8076778B2 (en) * 2009-09-30 2011-12-13 Macronix International Co., Ltd. Method for preventing Al-Cu bottom damage using TiN liner
JP5626019B2 (ja) * 2011-02-28 2014-11-19 日亜化学工業株式会社 発光装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05243402A (ja) * 1992-03-03 1993-09-21 Nec Corp 半導体装置の製造方法
JP2643793B2 (ja) 1993-09-14 1997-08-20 日本電気株式会社 半導体装置及びその製造方法
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
JP2751820B2 (ja) * 1994-02-28 1998-05-18 日本電気株式会社 半導体装置の製造方法
JPH09139431A (ja) * 1995-11-15 1997-05-27 Nec Corp 半導体装置とその製造方法
JPH09246374A (ja) * 1996-03-05 1997-09-19 Hitachi Ltd 多層配線構造体及びその製造方法
JPH09306988A (ja) * 1996-03-13 1997-11-28 Sony Corp 多層配線の形成方法
KR100236052B1 (ko) * 1996-11-20 1999-12-15 김영환 반도체장치의 다층배선 형성방법
US5759906A (en) * 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1314100C (zh) * 2001-11-07 2007-05-02 株式会社日立制作所 半导体器件的制造方法和半导体器件
CN101193618B (zh) * 2005-06-10 2011-02-02 株式会社太平洋 具有防水薄层的表面修饰粉末的制备方法
CN109683412A (zh) * 2019-01-29 2019-04-26 深圳市华星光电技术有限公司 阵列基板
US11307466B2 (en) 2019-01-29 2022-04-19 Shenzhen China Star Optoelectronics Technology Co., Ltd. Array substrate

Also Published As

Publication number Publication date
JP3362662B2 (ja) 2003-01-07
TW396509B (en) 2000-07-01
KR19990077752A (ko) 1999-10-25
JPH11260815A (ja) 1999-09-24
KR100346294B1 (ko) 2002-07-26
US6271119B1 (en) 2001-08-07

Similar Documents

Publication Publication Date Title
CN1229273A (zh) 制造半导体器件的方法
CN1293622C (zh) 半导体器件及其制造方法
JP5267130B2 (ja) 半導体装置およびその製造方法
CN1783478A (zh) 改善电子迁移的半导体元件与半导体元件的形成方法
US6614096B2 (en) Method for manufacturing a semiconductor device and a semiconductor device
CN1376740A (zh) 硅基组合物、低介电常数膜、半导体器件以及制造低介电常数膜的方法
CN101045820B (zh) 形成绝缘膜的组合物以及制造半导体器件的方法
CN100350592C (zh) 制造在互连孔的下部侧壁处具有斜面的半导体器件的方法
CN1278415C (zh) 具有多个布线层的半导体器件及其制造方法
CN1790666A (zh) 半导体装置及内连线的制造方法
CN1146962C (zh) 用于半导体装置中的绝缘膜和半导体装置
CN1551353A (zh) 包括金属互连和金属电阻器的半导体器件及其制造方法
JP5007511B2 (ja) 露光光遮蔽膜形成用材料、多層配線及びその製造方法、並びに半導体装置
CN1728375A (zh) 半导体装置及其制造方法
JP4567587B2 (ja) 半導体装置の製造方法
CN1612336A (zh) 半导体装置及其制造方法
KR19980018994A (ko) 반도체 디바이스 제조 방법 및 연마액(Fabrication Method of Semiconductor Device and Abrasive Liquid Used Therein)
CN1184687C (zh) 半导体器件及其制造方法
US6723628B2 (en) Method for forming bonding pad structures in semiconductor devices
CN1237787A (zh) 半导体器件及其制造方法
CN1315190C (zh) 包括由镶嵌工艺形成内连线的半导体器件及其制造方法
US7541296B2 (en) Method for forming insulating film, method for forming multilayer structure and method for manufacturing semiconductor device
CN1901156A (zh) 双镶嵌结构的制造方法
JP2000150510A (ja) 複合多孔質絶縁膜およびその形成方法、ならびに電子装置およびその製造方法
FR2770028A1 (fr) Procede de fabrication d'une structure d'interconnexion pour un dispositif a circuit integre

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
ASS Succession or assignment of patent right

Owner name: NEC ELECTRONICS TAIWAN LTD.

Free format text: FORMER OWNER: NIPPON ELECTRIC CO., LTD.

Effective date: 20030410

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20030410

Address after: Kanagawa, Japan

Applicant after: NEC Corp.

Address before: Tokyo, Japan

Applicant before: NEC Corp.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication