CN1161828C - 制造绝缘薄膜的方法 - Google Patents

制造绝缘薄膜的方法 Download PDF

Info

Publication number
CN1161828C
CN1161828C CNB008043094A CN00804309A CN1161828C CN 1161828 C CN1161828 C CN 1161828C CN B008043094 A CNB008043094 A CN B008043094A CN 00804309 A CN00804309 A CN 00804309A CN 1161828 C CN1161828 C CN 1161828C
Authority
CN
China
Prior art keywords
silicon
plasma
annealing
sio
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB008043094A
Other languages
English (en)
Other versions
CN1351760A (zh
Inventor
R��H�����˿���
R·H·卡克咖德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Publication of CN1351760A publication Critical patent/CN1351760A/zh
Application granted granted Critical
Publication of CN1161828C publication Critical patent/CN1161828C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及在室温和1大气压下,在硅上生成SiO2膜的方法。这种方法能够利用随氧化气体一起加入惰性气体并施加RF电能,以产生等离子体生成的氧原子和游离基,在较低的温度下进行氧化。也能够利用使含氮气体与惰性气体一起流动并施加RF电能,在压力高达1大气压下产生等离子体,以制造氮化硅膜。此外,上述方法也可以采用微波电能代替RF电能以产生等离子体来进行。

Description

制造绝缘薄膜的方法
技术领域
本发明涉及制造应用于薄膜晶体管(TFT)和金属氧化物绝缘体(MIS)晶体管中的绝缘膜的方法。
背景技术
形成SiO2之类绝缘膜的方法,是制造如硅MOSFET(金属氧化物半导体场效应晶体管)之类的晶体管的关键方法之一。在硅上形成SiO2膜通常是在能氧化硅的化学物质存在下,在温度高于1000℃下进行的。这种方法被称作热氧化方法。热氧化方法有所不希望的副作用,如在半导体中发生掺杂剂重新分布,因为在这种方法采用的高温下,掺杂剂发生明显地扩散。
薄膜晶体管(TFT)器件具有与通常的MOSFET相似的基本结构,已经将该器件应用于显示器如液晶显示器(LCD)和有机场致发光显示器(OELD)。这一类器件要求在温度低于430℃下形成SiO2层,因为这些显示器采用光学上透明的基片如玻璃,这种基片不能耐较高的温度。对于这一类的TFT应用,目前采用沉积的SiO2膜,与采用硅氧化的方法形成的SiO2膜相比,这种膜的质量差,而且与硅形成的界面也差;从而对TFT的性能产生不利的影响。因此,需要在尽可能低的温度下进行氧化过程。
最近,Ueno等人(参考文献1)和Ohmi等人(参考文献2)报道,将微波场施加于惰性气体与氧的气体混合物能产生包含原子氧和氧游离基的等离子体,即使在温度小于500℃下,等离子体也容易使硅氧化而形成SiO2膜。
在上述参考文献中所述的低温方法包括等离子体的生成。等离子体方法一般是在压力为1torr(133Pa)以下进行的;此外,在将加工气体加入加工室之前,需要将加工室抽空到甚至更低的压力(基本压力)。因此,这些等离子体方法需要采用昂贵的真空设备。真空设备的维护也会增加成本。真空设备还占用昂贵的净室空间。此外,上面采用的微波等离子体方法的应用也受到限制。微波方法适合于基片最大尺寸为直径300mm的半导体的加工。就TFT而言,基片的尺寸大得多,在最新一代的设备中接近1000mm×1000mm。对于这样大的基片,微波等离子体方法是不适合的。
发明内容
本发明的目的是提供一种在低温下制造高质量绝缘膜的廉价的方法。本发明的另一个目的是能在大基片上如在制造TFT采用的基片上制造这类绝缘膜。
在本发明公开的内容中,发明人对在压力基本上接近大气压(约100kPa)下,采用等离子体激励惰性气体和氧来制造高质量的SiO2膜作了报道。这种方法完全不需要使用真空设备,与TFT和半导体工业中制造类似绝缘膜采用的设备和方法相比,其设备和方法非常廉价。此外,对于这种方法,发明人采用MHz范围的射频(RF)电能,使这种方法能够应用于大基片。
此外,还能采用相同的方法,在压力基本上接近大气压下,使用氮化物质(例如NH3、N2等)和惰性气体产生等离子体来制备氮化硅。
从成本和简化的观点,在压力基本上接近大气压下产生RF等离子体的方法是有利的。当然,即使加工的压力降低至1kPa,也能采用廉价的真空设备进行这些加工。
附图简述
图1是采用RF电能的等离子体氧化方法的简化示意图
图2是采用实施例1公开的方法生成的SiO2膜制造的MOS电容器的C-V曲线
图3是采用实施例2公开的方法生成的SiO2膜制造的MOS电容器的C-V曲线
实现本发明的最佳模式
将惰性气体和试剂气体(例如氧化剂)加入室中。该室包括在其之间施加RF电能的二个电极(见图1)。室内的压力基本上接近1大气压(约100kPa),在这种方法中不采用任何真空设备。工业中目前采用的常规等离子体加工是在压力约100Pa以下进行的,等离子体的生成比较容易。在这些常规的等离子体方法中,RF的功率密度一般为约几百mW/cm2,电极之间的距离为约20mm。然而,随着压力的增加,生成等离子体也更加困难。在压力基本上接近1大气压下,只采用氧之类的试剂气体,要产生等离子体几乎是不可能的。在试剂气体中加入大比例的氦或氩之类的惰性气体,能使在较高的压力下生成等离子体容易一些,然而,在大气压下生成等离子体仍然是十分困难的。为了能在压力基本上接近1大气压下生成等离子体,除了在试剂气体中加入惰性气体以外,还必须明显地改变RF的功率密度和电极的距离。发明人发现,在MHz范围内RF的功率密度为几W/cm2是必要的。当施加RF电能的电极之间的距离小于5mm时,能更有效地生成等离子体。
除了硅的氧化以外,也可以将上述的方法应用于在压力基本上接近1大气压下的硅的氮化。可在代替含氧化合物的含氮化合物(例如N2、NH3等),以及惰性气体存在下和持续等离子体也可进行氮化。这些氮化物膜经进一步加工如退火(热退火,快速热退火,激光退火),以进一步提高膜的质量。
还应注意,这些方法是在压力1大气压(接近100kPa)下进行的。如果需要,采用廉价的真空设备可将压力降低到1kPa。因此,上述的方法可在气体压力1kPa-110kPa下进行。
虽然采用微波产生等离子体是在压力小于1kPa下进行的,但在较高的气压(例如1kPa以上)下也可以采用微波代替RF用于产生等离子体。
实施例1
根据上述的方法,硅的氧化是按以下方法进行的。将氦气和氧气引入放置待氧化的硅基片的室中。在气体混合物中氧的百分数为2%。压力为1大气压(约100kPa)。采用频率40MHz的RF电能产生持续的等离子体。RF的功率密度为3W/cm2。温度为200℃。电极距离为1.5mm。将厚度0.5mm的硅基片放在下电极上。图2示出,采用上述条件,在P-型硅上生成SiO2膜后制造的金属氧化物半导体(MOS)电容器的高频C-V曲线。该C-V曲线接近理想情况,没有任何磁滞现象。采用低频C-V特性曲线测定在接近硅的中隙能的Si-SiO2界面的缺陷态密度(界面态密度或Dit)。求出的Dit值接近5×1010cm-2eV-1。这样低的Dit值表明,器件的界面质量是优良的。
为了了解与采用常规低压等离子体的类似方法形成的SiO2膜的Dit值进行对比的情况,在压力133Pa(1torr)下进行氧化实验。其它实验条件如下。RF的功率密度=500mW/cm2,电极的距离=20mm,温度=200℃,和O2/He=2%。对于这种低压等离子体氧化方法,在Si-SiO2界面求出的Dit值为6×1011cm-2eV-1。因此,在压力基本上接近1大气压下采用等离子方法制备的SiO2膜,其Si-SiO2界面的缺陷密度大大低于在较低的等离子体压力133Pa下制造的SiO2膜。
为了将采用本发明公开的方法制造的Si-SiO2界面的界面态密度与热生成的SiO2膜的界面态密度进行对比,在1000℃下氧化类似的硅晶片。在热氧化情况下求出的界面态密度接近2×1011cm-2eV-1。因此,在压力基本上接近1大气压下,采用等离子体方法在200℃下制备的SiO2膜的Si-SiO2界面的缺陷密度也低于采用热氧化方法在1000℃下制备的SiO2膜,这表明采用本发明公开的方法能获得高质量的界面。
实施例2
在实施例1公开的方法中,将与等离子体反应的硅基片放置在产生等离子体的电极之间。可在一个单独的室中施加RF电能产生等离子体,然后将等离子体转移到另一个放有与等离子体反应的硅基片的室中。这种方法被称作间接等离子体方法,预料这种方法能降低等离子体对基片的损害,和进一步提高SiO2膜的质量。采用使用间接等离子体氧化方法制备的SiO2膜制造MOS电容器。对于SiO2的生成,压力为1大气压(约100kPa),温度为200℃,O2/He气体流量比为1.5%,功率密度为70W/cm2。图3示出MOS电容器的C-V特性曲线。尽管采用非常高的功率密度,但在图3中未见磁滞后现象,这表明采用间接等离子体方法对SiO2膜的损害小。
在上述二个实施例中,采用氧作为氧化剂,然而,也可以与惰性气体一道使用其它的氧化剂如N2O或H2O或各种氧化剂的混合物以进行氧化过程。
这些二氧化硅膜经退火如热退火,或激光退火,以进一步提高它们的性能,优选在包含氢的环境如氮氢混合气体环境中退火。
还观察到,在加工气体混合物中加入少量的含氟化合物(例如HF、NF3、和CF4)比不加含氟化合物能提高氧化的速率。加入含氯化合物也能类似地提高氧化速率。加入氟化合物或氯化合物还能提高膜的质量。
随氧化剂和惰性气体加入氮或含氮的化合物,还能进一步改善本发明公开的方法,提高包含这种二氧化硅膜的器件的可靠性。
实施例3
将采用本发明公开的方法生产的二氧化硅膜引入制造TFT的方法中。制造二类TFT。1.采用常规TFT方法制造的参比TFT,和2.采用下列步骤制造的等离子体氧化的TFT,其中的步骤3包括与本发明相关的方法之一。
1.在玻璃基片上,采用LPCVD方法沉积厚度为50nm的无定形硅层。
2.采用XeCl脉冲激光给无定形的硅膜进行激光退火,将其转变成晶粒尺寸约0.3μm的多晶硅,然后采用光刻方法使多晶硅层成图,制成岛状物。
3.在压力基本上接近1大气压(约100kPa)下,将RF电能施加于氧-氦气体混合物,在多晶硅上制造厚度4nm的SiO2层(SiO2层1)。再采用ECR CVD方法进一步沉积SiO2层(SiO2层2),以使由SiO2层1和SiO2层2组成的总层厚为120nm。
4.沉积栅极金属并将其成图。
5.采用离子掺杂方法形成源区和漏区
6.沉积隔离二氧化硅层并将其成图,以制成源-漏连接孔。
7.沉积源-漏连接金属并将其成图。
为了比较起见,采用与上述相同的方法制造参比TFT,但以步骤3制造SiO2层除外。参比TFT不包含所述的SiO2层1,而是采用ECRCVD方法沉积整个SiO2层厚度为120nm。因此,参比TFT方法与常规TFT方法相似,而等离子体氧化TFT方法,包括附加的SiO2层(SiO2层1),以改进多晶硅和SiO2层之间的界面。
在评价和比较这二类TFT的性能时,等离子体氧化的TFT的性能,在所有方面都比参比TFT好。对于等离子体氧化TFT,获得的n-沟道迁移率值为170cm2V-1s-1,与其相比,参比TFT的该值为120cm2V-1s-1。对于等离子体氧化TFT,获得的亚阈斜率值为0.4V/十进制,与其相比,基准TFT的该值为0.64V/十进制。对于等离子体氧化TFT,获得的开路电流值比参比TFT的值低一个数量级。所有这些结果都表明,等离子体氧化TFT的性能大大优于参比TFT的性能。

Claims (22)

1.一种制造SiO2膜的方法,其包括在总压力为1kPa-110kPa的惰性气体和氧化气体存在下,施加频率为1MHz-100MHz的RF电能的步骤,以产生包含反应性氧化物质的等离子体,反应性氧化物质与基片的硅部分反应,以将至少一部分硅转化成SiO2
2.权利要求1的方法,其中将所述的RF电能施加到电极之间,电极之间的间距为5mm以下。
3.权利要求1的方法,其中采用氦、氩、氖、氪、和氙中的任一种或选自氦、氩、氖、氪、和氙中至少二种的任一种混合物作为惰性气体。
4.权利要求1的方法,其中采用氧、臭氧、H2O、和N2O中的任一种或选自氧、臭氧、H2O、和N2O中至少二种的任一种混合物作为氧化气体。
5.权利要求1的方法,其中所述氧化气体的分压是总气压的0.05-15%。
6.权利要求1的方法,其中将所述待氧化的硅放置在施加RF电能以产生等离子体的电极之间。
7.权利要求1的方法,其中所述RF的功率密度为0.5-10W/cm2
8.权利要求1的方法,其中所述待氧化的硅并不放置在产生等离子体的电极之间,而是将由施加RF电能在电极之间产生的等离子体随后转移到硅。
9.权利要求8的方法,其中所述RF的功率密度为1-100W/cm2
10.权利要求1的方法,其中所述硅的温度为20-700℃。
11.权利要求1的方法,其中所述硅的温度为20-430℃。
12.根据权利要求1的制造SiO2膜的方法,其中还进行SiO2膜的退火处理,退火处理包括热退火、快速热退火和激光退火中的至少一种。
13.权利要求12的方法,其中所述的退火处理在包含氢的环境中进行的。
14.权利要求1的方法,其中所述气体的混合物除了包含惰性气体和氧化气体外,还包含氮或含氮的化合物。
15.权利要求1的方法,其中采用所述的SiO2膜作为金属绝缘半导体场效应晶体管器件或薄膜晶体管器件的栅极绝缘材料或部分栅极绝缘材料。
16.一种制造氮化硅膜的方法,其包括在总压为1kPa-110kPa的惰性气体和氮化物气体存在下,施加频率为1MHz-100MHz的RF电能的步骤,以产生包含反应性氮化物质的等离子体,反应性氮化物质随后与基片的硅部分反应,以将至少一部分硅转化成氮化硅。
17.权利要求16的方法,其中采用氦、氩、氖、氪、和氙中的任一种或选自氦、氩、氖、氪、和氙中至少二种的任一种混合物作为惰性气体。
18.权利要求16的方法,其中采用氮、NH3、和N2O中的任一种或选自氮、NH3、和N2O中至少二种的任一种混合物作为氮化气体。
19.权利要求16的方法,其中将所述的硅放置在施加RF电能产生等离子体的电极之间。
20.权利要求16的方法,其中并不将所述的硅放置在产生等离子体的电极之间,而是将由施加RF电能在电极之间产生的等离子体随后转移给硅。
21.权利要求16制造氮化硅膜的方法,其中还进行氮化硅膜的退火处理,退火处理包括热退火、快速热退火和激光退火中的至少一种。
22.权利要求21的方法,其中所述的退火处理是在包含氢的环境中进行的。
CNB008043094A 1999-12-27 2000-12-27 制造绝缘薄膜的方法 Expired - Fee Related CN1161828C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP371208/1999 1999-12-27
JP37120899 1999-12-27
JP371208/99 1999-12-27

Publications (2)

Publication Number Publication Date
CN1351760A CN1351760A (zh) 2002-05-29
CN1161828C true CN1161828C (zh) 2004-08-11

Family

ID=18498330

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008043094A Expired - Fee Related CN1161828C (zh) 1999-12-27 2000-12-27 制造绝缘薄膜的方法

Country Status (6)

Country Link
US (1) US6534421B2 (zh)
JP (1) JP2003518781A (zh)
KR (1) KR100421767B1 (zh)
CN (1) CN1161828C (zh)
TW (1) TW520453B (zh)
WO (1) WO2001048076A2 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
US6706576B1 (en) * 2002-03-14 2004-03-16 Advanced Micro Devices, Inc. Laser thermal annealing of silicon nitride for increased density and etch selectivity
US7786021B2 (en) * 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
JP2004266075A (ja) * 2003-02-28 2004-09-24 Tokyo Electron Ltd 基板処理方法
US7291568B2 (en) * 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6992370B1 (en) * 2003-09-04 2006-01-31 Advanced Micro Devices, Inc. Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
TWI240328B (en) * 2004-08-27 2005-09-21 Univ Nat Cheng Kung Pretreatment process of substrate in micro-nano imprinting technology
CN1295759C (zh) * 2004-11-26 2007-01-17 浙江大学 氧气氛下等离子体氧化制备二氧化硅薄膜的方法
US7906440B2 (en) * 2005-02-01 2011-03-15 Tokyo Electron Limited Semiconductor device manufacturing method and plasma oxidation method
EP1865548A4 (en) * 2005-03-31 2011-01-05 Tokyo Electron Ltd METHOD FOR PRODUCING A SILICON OXIDE FILM, A CONTROL PROGRAM THEREFOR, A RECORDING MEDIUM AND A PLASMA PROCESSING DEVICE
US20060270066A1 (en) * 2005-04-25 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US20080317974A1 (en) * 2005-08-26 2008-12-25 Fujifilm Manufacturing Europe B.V. Method and Arrangement for Generating and Controlling a Discharge Plasma
JP2009538989A (ja) * 2006-05-30 2009-11-12 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. パルス化大気圧グロー放電を使用する堆積の方法及び装置
JP5543203B2 (ja) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
WO2008100139A1 (en) 2007-02-13 2008-08-21 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US7645709B2 (en) * 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
JP2009099962A (ja) * 2007-09-28 2009-05-07 Canon Inc 酸化膜の形成方法及び撮像装置
EP2235735B1 (en) * 2008-02-01 2015-09-30 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
JP5473946B2 (ja) * 2008-02-08 2014-04-16 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. Wvtrバリア性を改善した多層スタック構造体の製造方法
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20130181331A1 (en) * 2010-09-28 2013-07-18 Ndsu Research Foundation Atmospheric-pressure plasma-enhanced chemical vapor deposition
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
CN102820221A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
KR20170010697A (ko) 2015-07-20 2017-02-01 재단법인 나노기반소프트일렉트로닉스연구단 점착 기능을 구비한 유연 전자소자 및 그의 제조방법
DE102016124968B4 (de) * 2016-12-20 2024-01-18 Infineon Technologies Ag Ausbilden von Siliziumoxidschichten durch Oxidation mit Radikalen
DE102018122979B4 (de) * 2018-06-13 2023-11-02 Infineon Technologies Ag Verfahren zum bilden einer silicium-isolator-schicht und halbleitervorrichtung mit derselben
KR20210130247A (ko) * 2019-03-20 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
US11171015B2 (en) * 2019-09-11 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layered polysilicon and oxygen-doped polysilicon design for RF SOI trap-rich poly layer

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58125833A (ja) 1982-01-22 1983-07-27 Hitachi Ltd 減圧酸化法
US4555303A (en) 1984-10-02 1985-11-26 Motorola, Inc. Oxidation of material in high pressure oxygen plasma
US5180690A (en) * 1988-12-14 1993-01-19 Energy Conversion Devices, Inc. Method of forming a layer of doped crystalline semiconductor alloy material
JP3009438B2 (ja) * 1989-08-14 2000-02-14 株式会社日立製作所 液晶表示装置
JPH03247767A (ja) * 1990-02-26 1991-11-05 Fuji Electric Co Ltd 絶縁膜形成方法
JP2840699B2 (ja) * 1990-12-12 1998-12-24 株式会社 半導体エネルギー研究所 被膜形成装置及び被膜形成方法
JP3274217B2 (ja) 1993-04-05 2002-04-15 株式会社リコー 液晶表示装置の製造方法
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
FR2782837B1 (fr) * 1998-08-28 2000-09-29 Air Liquide Procede et dispositif de traitement de surface par plasma a pression atmospherique
US6149779A (en) * 1998-11-03 2000-11-21 Novellus Systems, Inc. Low-k BSG gap fill process using HDP
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法

Also Published As

Publication number Publication date
WO2001048076A3 (en) 2002-05-10
TW520453B (en) 2003-02-11
JP2003518781A (ja) 2003-06-10
CN1351760A (zh) 2002-05-29
KR20010102389A (ko) 2001-11-15
KR100421767B1 (ko) 2004-03-11
US20020160623A1 (en) 2002-10-31
WO2001048076A2 (en) 2001-07-05
US6534421B2 (en) 2003-03-18

Similar Documents

Publication Publication Date Title
CN1161828C (zh) 制造绝缘薄膜的方法
US7737511B2 (en) Semiconductor device and method of manufacturing the same
US7723781B2 (en) Vertical thin-film transistor with enhanced gate oxide
US20070169696A1 (en) Two-step post nitridation annealing for lower eot plasma nitrided gate dielectrics
CN1271682C (zh) 具有不同厚度栅极绝缘膜的半导体器件的制造方法
US20030057432A1 (en) Ultrathin high-k gate dielectric with favorable interface properties for improved semiconductor device performance
US20010006843A1 (en) Method for forming a gate insulating film for semiconductor devices
US6686292B1 (en) Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
US6638877B2 (en) Ultra-thin SiO2using N2O as the oxidant
JP2006173327A (ja) 薄膜トランジスタとその製造方法および製造装置
CN1120524C (zh) 制造半导体器件的电容器的方法
US7176094B2 (en) Ultra-thin gate oxide through post decoupled plasma nitridation anneal
JP3051807B2 (ja) 絶縁ゲート型電界効果半導体装置及びその製造方法
KR100247904B1 (ko) 반도체 장치의 제조방법
KR20000042429A (ko) 반도체 소자의 고유전체 캐패시터 제조방법
JP3173757B2 (ja) 半導体装置の作製方法
CN1762045A (zh) 用于较低eot等离子体氮化的栅电介质的两步后氮化退火
KR0151619B1 (ko) 반도체 집적회로 유전체막 형성방법
JP4023367B2 (ja) 半導体膜形成方法、及び半導体膜製造方法
KR970000704B1 (ko) 반도체 소자 캐패시터 유전층 제조방법
KR20020035982A (ko) 반도체 소자의 게이트 형성방법
JPH02211637A (ja) 薄膜トランジスタの製造方法
KR960035982A (ko) 반도체 소자의 전하저장전극 제조방법
KR20010026808A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040811

Termination date: 20151227

EXPY Termination of patent right or utility model