CN115527897A - 小热质量的加压腔室 - Google Patents

小热质量的加压腔室 Download PDF

Info

Publication number
CN115527897A
CN115527897A CN202211185197.1A CN202211185197A CN115527897A CN 115527897 A CN115527897 A CN 115527897A CN 202211185197 A CN202211185197 A CN 202211185197A CN 115527897 A CN115527897 A CN 115527897A
Authority
CN
China
Prior art keywords
substrate
chamber
processing
liner
processing volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211185197.1A
Other languages
English (en)
Inventor
罗曼·古科
陈翰文
史蒂文·韦尔韦贝克
简·德尔马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115527897A publication Critical patent/CN115527897A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B21/00Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects
    • F26B21/14Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects using gases or vapours other than air or steam, e.g. inert gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文描述的实施方式一般涉及并入小热质量的处理腔室,所述腔室能够实现针对超临界干燥工艺的有效温度循环。所述腔室一般包含:主体、衬垫和隔离元件,所述隔离元件能够实现使衬垫展现出相对于主体的小热质量。所述腔室还构造有用于在腔室的处理容积内产生和/或维持超临界流体的合适的设备。

Description

小热质量的加压腔室
本申请是申请日为2016年9月12日、申请号为201680054443.6、发明名称为“小热质量的加压腔室”的发明专利申请的分案申请。
技术领域
本公开内容的实施方式一般涉及超临界干燥设备。更特定地,本文描述的实施方式涉及小热质量加压腔室。
背景技术
在半导体器件的清洁中,通常需要自基板表面移除液体和固体污染物,从而留下干净的表面。湿式清洁工艺一般涉及清洁液体的使用,例如水性清洁溶液(aqueouscleaning solution)。在湿式清洁基板之后,通常需要在清洁腔室中自基板表面移除清洁液体。
现今多数的湿式清洁技术使用液体喷洒或浸没步骤以清洁基板。在应用清洁液体之后,干燥具有高的宽高比特征或具有空洞(void)或孔的低k材料基板是非常具挑战性的。清洁液体的毛细力(capillary force)通常导致这些结构中的材料变形而可产生不期望的静摩擦,这除了会在基板上留下使用的清洁溶液的残留物以外,还可损坏半导体基板。在后续的基板干燥期间,前述挑战对具有高的宽高比的半导体器件结构特别明显。由于在(多个)湿式清洁工艺期间跨越被困在沟槽或过孔中液体上方的液体-空气界面的毛细压力,形成高的宽高比的沟槽或过孔的侧壁朝向彼此弯曲而造成了线粘连(line stiction)或线崩塌。具有窄的线宽和高的宽高比的特征特别易受由于毛细压力导致的液体-空气和液体-壁界面之间产生的表面张力的差异的影响(毛细压力有时也称为毛细力)。由于器件尺寸的快速缩小,现今可行的干燥做法正在面对防止线粘连的急剧升高挑战。
结果,领域中存在有用于执行超临界干燥工艺的改良的设备的需求。
发明内容
在一个实施方式中,提供一种基板处理设备。所述设备包含:腔室主体,所述腔室主体界定处理容积,所述处理容积经构造以在提高的压力下进行操作。所述腔室主体包含:衬垫,所述衬垫设置于所述腔室主体内并相邻于所述处理容积;和隔离元件,所述隔离元件设置于所述腔室主体内并相邻于所述衬垫。所述隔离元件可具有与所述腔室主体和所述衬垫的热膨胀系数相似的热膨胀系数。基板支撑件可耦接至门,且设置于所述处理容积中的挡板可耦接至致动器,所述致动器经构造以在所述处理容积内移动所述挡板。
在另一实施方式中,提供一种基板处理设备。所述设备包含:平台,所述平台具有传输腔室和耦接于所述平台上的处理腔室。所述处理腔室可以相对于所述传输腔室的以倾斜角度设置。所述处理腔室包含:腔室主体,所述腔室主体界定处理容积,所述处理容积经构造以在提高的压力下进行操作。所述腔室主体包含:衬垫,所述衬垫设置于所述腔室主体内并相邻于所述处理容积;和隔离元件,所述隔离元件设置于所述腔室主体内并相邻于所述衬垫。所述隔离元件可具有与所述腔室主体和所述衬垫的热膨胀系数相似的热膨胀系数。基板支撑件可耦接至门,且设置于所述处理容积中的挡板可耦接至致动器,所述致动器经构造以在所述处理容积内移动所述挡板。
在另一实施方式中,提供一种基板处理方法。所述方法包含以下步骤:将基板设置在处理腔室中的基板支撑件上。所述基板支撑件可相对于重力倾斜,且可将一定量的溶剂导入所述处理腔室以至少部分地淹没所述基板。将挡板放置在所述基板上方,可在所述处理腔室中产生超临界CO2,且可将所述基板曝露于所述超临界CO2
附图说明
以便详细理解本公开内容的上述特征的方式,可通过参考实施方式而获得本公开内容的概括于上文的更特定的描述,其中一些实施方式图示于所附附图中。然而,应注意所附附图仅图示示例性的实施方式,因此不应被视为限制其范围,可允许其它等效的实施方式。
图1图示了根据本文描述的实施方式的形成于半导体基板上的特征之间所产生的粘连的效应。
图2A图示根据本文描述的一个实施方式处理设备的平面视图。
图2B图示根据本文描述的一个实施方式处理设备的平面视图。
图3示意地图示根据本文描述的一个实施方式小热质量的处理腔室的横截面视图。
图4示意地图示根据本文描述的一个实施方式小热质量的处理腔室的截面侧面视图。
图5示意地图示根据本文描述的实施方式并入小热质量的处理腔室的处理平台的侧面视图。
为了便于理解,尽可能使用相同元件符号,以标示图式中常见的相同元件。思量一个实施方式的元件和特征可有利地并入其它实施方式中,而无须进一步叙述。
具体实施方式
在以下描述中,为了说明的目的,阐述了众多特定细节以便对本文提供的实施方式的全面理解。然而,对熟悉本领域的普通技术人员而言,可实践本公开内容而无须这些特定细节是显而易见的。在其它范例中,并未描述特定的设备结构,以免混淆所描述的实施方式。以下描述和附图是对实施方式的说明书,而不应理解为对本公开内容的限制。
图1为图示半导体器件100的一部分的示意性截面图,其中半导体器件100内的两个特征之间发生线粘连。如图所示,在基板表面上形成高的宽高比的器件结构。在处理期间,器件结构102应该保持垂直定向,且壁106不应该跨过开口104并接触器件结构102的相邻壁106。但半导体器件100在使用湿式化学剂清洁之后被干燥时,器件结构102的壁106经受由设置于开口104内的清洁液体所产生的空气-液体界面而导致的毛细力。毛细力造成相邻器件结构102的壁106朝向彼此弯曲且互相接触。相邻器件结构102的壁106之间的接触导致了线粘连,最终造成开口104的闭合。线粘连一般是不期望的,因为它防止了在后续基板处理步骤(例如进一步的沉积步骤)期间接取(access)开口104。
为了防止线粘连,可在湿式清洁腔室中将基板曝露于水性清洁溶液,例如去离子化水或清洁化学。所述基板包含半导体基板,所述半导体基板具有设置或形成于其上的电子器件。在执行湿式清洁工艺之后,在湿式清洁腔室中的基板上的水性清洁溶液的使用移除留在基板上的残留物。在一些构造中,湿式清洁腔室可为单一晶片清洁腔室和/或水平旋转腔室。此外,湿式清洁腔室可具有适于产生引导至基板的非器件侧面上的声音能量的兆声波(megasonic)板。
在湿式清洁基板之后,可将基板传输至溶剂交换腔室,以置换先前在湿式清洁腔室中使用的任何水性清洁溶液。接着可将基板传输至超临界流体腔室,用于将在基板上执行的进一步的清洁和干燥步骤。在一个实施方式中,干燥基板可涉及超临界流体至基板表面的输送。当经受某些在超临界处理腔室中实现或维持的压力和温度配置时,可选择干燥气体以转换进入超临界状态。所述干燥气体的一个示例包含二氧化碳(CO2)。因为超临界CO2为超临界气体,其不具有表面张力,其表面张力相似于气体,但具有相似于液体的密度。超临界CO2具有在约73.0atm的压力和约摄氏31.1度的温度的临界点。超临界流体(例如CO2)的一个独特属性为:在任何高于超临界压力的压力和高于临界点的温度下(例如,CO2为摄氏31.1度和73atm)不会发生凝结。处理环境(例如,处理腔室)的临界温度和临界压力参数影响CO2干燥气体的超临界状态。
由于超临界流体的独特属性,超临界流体可实质穿过基板中所有孔或空洞并移除任何可存在于开口104中的残留液体或颗粒。在一个实施方式中,在超临界处理进行了所需时间周期以移除颗粒和残留物之后,腔室的压力在近乎恒定的温度下减少,允许超临界流体在开口104内直接转换至气相。在超临界流体处理之前典型存在于开口104中的液体可为来自溶剂交换腔室的置换溶剂。典型存在于开口104中的颗粒可为任何固体微粒物质,例如有机物种(例如,碳)、无机物种(例如,硅)和/或金属。可被超临界流体干燥的开口104的示例包含:介电层中的空洞或孔、低k介电材料中的空洞或孔、和基板中的可困住清洁流体和颗粒的其它类型的空隙。另外,由于超临界流体(例如超临界CO2)可忽略的表面张,超临界干燥可通过在相位转换期间绕过液体状态和消除器件结构102的壁106之间所产生的毛细力来防止线粘连。
接着可将基板从超临界流体腔室传输至后处理腔室。后处理腔室可为等离子体处理腔室,在等离子体处理腔室中可移除可存在于基板上的污染物。后处理基板也可进一步释放存在于器件结构中的任何线粘连。本文描述的工艺对于具有高的宽高比(例如约10:1或更高、20:1或更高、或30:1或更高的宽高比)的清洁器件结构是有用的。在某些实施方式中,本文描述的工艺对于清洁3D/垂直NAND闪存器件结构是有用的。
图2A图示了根据本公开内容的一个实施方式的基板处理设备,所述基板处理设备适于执行上述的一个或多个操作。在一个实施方式中,处理设备200包括湿式清洁腔室201、溶剂交换腔室202、超临界流体腔室203、后处理腔室204、传输腔室206、和湿式机器手208。处理基板可包含(但不限于)形成以金属线内连的电子器件,例如晶体管、电容、或电阻,这些金属线通过基板上的层间电介质隔离。这些处理可包含清洁基板、清洁形成于基板上的膜、干燥基板、和干燥形成于基板上的膜。在另一实施方式中,处理设备200包含检查腔室205,检查腔室205可包含工具(未图示)以检查已经在处理设备200中被处理的基板。
在一个实施方式中,基板处理设备200为集群工具,包括数个基板处理腔室,例如湿式清洁腔室201、溶剂交换腔室202、超临界流体腔室203、后处理腔室204、和传输腔室206。可围绕湿式机器手208放置腔室201、202、203、204,湿式机器手208可设置于传输腔室206中。湿式机器手臂208包括电机、基座、手臂、和终端受动器209,经构造以在腔室之间传输基板。可选地,湿式机器手208可具有多个手臂和多个终端受动器以增加处理设备200的产量。在一个实施方式中,湿式机器手208在上述腔室之间传输基板。在另一实施方式中,湿式机器手臂208的终端受动器中的至少一个为专用的干燥终端受动器(例如,适于处理干燥的晶片),且湿式机器手208的终端受动器中的至少一个为专用的湿式终端受动器(例如,适于处理湿的晶片)。专用的干燥终端受动器可用于在超临界流体腔室203和后处理腔室204之间传输基板。
处理设备200也包括设置于工厂界面218中的干燥机器手216,工厂界面218可耦接至处理设备200并且可以是多个基板盒212和214,这些基板盒的每一个保持将被清洁或干燥的(或已被清洁或干燥的)多个基板。干燥机器手216可经构造以在基板盒212和214和湿式清洁腔室201和后处理腔室204之间传输基板。在另一实施方式中,干燥机器手216可经构造以在超临界流体腔室203和后处理腔室204之间传输基板。处理设备200内的处理腔室可被放置在容纳基板传输腔室206的水平平台上。在另一实施方式中,平台的一部分可定向在水平定向以外的位置(见图5)。
在替代的实施方式中,如图2B所示,处理设备200A可为线性设备,包括数个基板处理腔室,例如湿式清洁腔室201、溶剂交换腔室202、超临界流体腔室203、后处理腔室204、和传输腔室206。例如,处理设备200A可为来自加州Santa Clara的应用材料公司(AppliedMaterials)所提供的
Figure BDA0003867327150000061
然而,预期可适用来自其它制造商的其它处理设备以执行本文描述的实施方式。
可围绕机器手208A放置腔室201、202、203、204,机器手208A可设置于传输腔室206中。机器手208A包括电机、基座、手臂、和终端受动器209A和209B,经构造以在腔室之间传输基板。机器手208A可具有多个手臂和多个终端受动器以增加处理设备200A的产量。在一个实施方式中,具有专用的湿式终端受动器209A的机器手208A在上述腔室之间传输基板。处理设备200A也可包括工厂界面218,工厂界面218可耦接至处理设备200和多个基板盒212和214,这些基板盒的每一个保持将被清洁或干燥的(或已被清洁或干燥的)多个基板。具有专用的干燥终端受动器209B的机器手208A在基板盒212和214和湿式清洁腔室201和后处理腔室204之间传输基板。在一个实施方式中,专用的干燥终端受动器209B可经构造以在超临界流体腔室203和后处理腔室204之间传输基板。处理设备200A内的腔室可被放置在容纳基板传输腔室206的水平平台上。在另一实施方式中,平台的一部分可定向在水平定向以外的位置(见图5)。
在处理设备200A的一些构造中,机器手208A可沿着线性轨道220前进。可在线性轨道220的一侧或两侧上依序布置腔室。为了执行湿基板传输,可从基板移除过多的液体(例如通过旋转基板),同时基板仍位于腔室中,所以在基板被机器手208A传输之前仅有薄的湿层(wet layer)保留于基板表面上。在机器手208A上提供两个或更多个终端受动器的实施方式中,至少一个可专用于湿基板传输且另一个可专用于干燥基板传输。可在用于高容积生产的可扩充线性构造中安装更多基板。
在先前实施方式中所提到的构造显著减低了每个腔室的设计复杂度,能够实现敏感的处理步骤之间的排队时间控制,并在具有可调整腔室模组计数的连续生产中最佳化产量,以均衡每个处理操作的处理周期。
图3示意性地图示了根据本文描述的一个实施方式的小热质量处理腔室300的截面图。在某些实施方式中,腔室300可被实施为关于图2A和图2B所描述的腔室203。一般而言,腔室300经构造以承受适于产生和/或维持腔室300中的超临界流体的加压。腔室300也可有利于在适于执行相位转换的温度范围内循环。
腔室300包含主体302、衬垫318、和隔离元件316。主体302和衬垫318一般界定处理容积312。主体302可经构造以承受适于在处理容积312内产生超临界流体的压力。例如,主体可适于承受约100bar或更高的压力。用于主体302的合适的材料包含不锈钢、铝、或其它高强度金属材料。衬垫318也可由与主体302相似的材料形成。在一个实施方式中,衬垫318和主体302可为整体设备。在另一实施方式中,衬垫318和主体302可为耦接在一起的分离的设备。
衬垫318在相邻于处理容积312的区域处可具有约2mm和约5mm之间的厚度344,例如约3mm。相较于主体302,构成衬垫318的相对最小量的材料造成衬垫318具有相对于主体302的热质量的小热质量。据此,由于处理容积312的温度主要受衬垫318而非主体302影响,处理容积312内的温度改变可以以更有效方式进行。在一个实施方式中,处理容积312内的处理环境可在低于约5分钟的时间量(例如低于约1分钟)中在约摄氏20度和约摄氏50度之间循环。在一个实施方式中,处理容积312可在约30秒中在约摄氏20度和约摄氏50度之间循环。
隔离元件316一般设置于主体302内并相邻于衬垫318。在图示的实施方式中,隔离元件316可为多个设备。隔离元件316一般可沿着处理容积312的长轴延伸,以通过将衬垫318与主体302隔离来进一步减低衬垫318的热质量。隔离元件316可由适于在高压环境使用的材料形成,所述高压环境具有与主体302和衬垫318的所采用材料的热膨胀系数相似的热膨胀系数。在一个实施方式中,隔离元件316可为陶瓷材料。陶瓷材料的多种示例包含氧化铝、氮化铝、碳化硅,和类似材料。隔离元件316的厚度346可为约0.1吋和约1.0吋之间,例如约0.5吋。
处理容积312具有低于约2升的容积,例如约1升。在衬垫318之间跨越处理容积312的距离348可低于约5厘米,例如低于约2厘米,例如,约1厘米。在多种实施方式中,取决于处理容积312中的条件,处理容积312可用多种液体、气体、和/或超临界流体来填充。在一个实施方式中,处理容积312可耦接至一个或多个溶剂源320、332、336。第一溶剂源320可经由穿过主体302的顶部的第一管道322耦接至处理容积312。第二溶剂源332可经由穿过主体302的侧壁的第二管道334耦接至处理容积312。第三溶剂来源336可经由穿过主体302的底部的第三管道338耦接至处理容积312。取决于所需的溶剂导入特性,溶剂源320、332、336可经构造以从多种进入端口将溶剂提供至处理容积。
可从溶剂来源320、332、336供应至处理容积312的合适的溶剂包含:丙酮、异丙醇、乙醇、甲醇、N-甲基2-吡咯烷酮(N-Methyl-2-pyrrolidone)、N-甲基甲酰胺、1,3-二甲基-2-咪唑啉酮(1,3-Dimethyl-2-imidazolidinone)、二甲基乙酰胺、和二甲基亚砜等等。一般而言,可选择溶剂使得溶剂混溶于液体CO2
第一流体源324可经由穿过主体302的顶部的第四管道326耦接至处理容积312。第一流体源324一般经构造以提供液体或超临界流体至处理容积312。在一个实施方式中,第一流体源324可经构造以输送超临界CO2。在另一个实施方式中,流体源324可经构造以输送超临界CO2至处理容积312。在此实施方式中,加热设备和加压设备可耦接至第四管道326以在进入处理容积312之前促进液体CO2至超临界CO2的相位转换。第二流体源356可与第一流体源324相似地构造。然而,第二流体源356可经由穿过主体302的底部的第五管道358耦接至处理容积。取决于所需的处理特性,可从顶部向下(第一流体源324)或从底部向上(第二流体源356)的方式选择液体CO2和/或超临界CO2的输送。
在操作中,可至少部分地通过提供至处理容积312的CO2的温度控制处理容积312的温度。此外,可提供一定量的液体CO2和/或超临界CO2至处理容积312,使得整个处理容积在约1次和约5次之间交换,例如约3次。相信重复的处理容积周转可促进后续超临界干燥操作期间形成和/或输送超临界CO2至处理容积312之前的溶剂与CO2的混合。为了促进来自处理容积312的流体和气体的周转和移除,处理容积312可经由第六管道342耦接至流体出口340。
腔室300也包含基板支撑件306和挡板310,基板支撑件306可耦接至门304,挡板310可以可移动地设置于处理容积312内。在一个实施方式中,基板支撑件306和门304可为整体设备。在另一实施方式中,基板支撑件306可以可移除地耦接至门304且可独立于门304移动。门304和基板支撑件306可由多种材料形成,包含不锈钢、铝、陶瓷材料、聚合材料、或其组合。基板支撑件306也可具有设置于基板支撑件306中的加热元件354。在一个实施方式中,加热元件354可为电阻式加热器。在另一实施方式中,加热元件354可为在基板支撑件306中形成的流体填充通道。加热元件354可经构造以加热处理容积312,以促进在处理容积312中形成或维持超临界流体。
在操作中,基板支撑件306可经由形成在主体302中的开口进入处理容积312,且门304可经构造以在基板支撑件306被放置在处理容积312内时紧靠主体302。在一个实施方式中,基板支撑件306经构造以侧向移动。其结果是,可最小化距离348,因为处理容积312内的基板支撑件306的垂直移动是不必要的。密封352(例如O形环,或类似元件)可耦接至主体302,且可由弹性材料形成密封352,例如,聚合材料。一般而言,在处理期间,门304可经由耦接设备(未图示,例如螺栓,或类似设备)固定至主体302,以足够的力量来承受适于在处理容积312中形成或维持超临界流体的高压环境。
挡板310可由多种材料形成,包含不锈钢、铝、陶瓷材料、石英材料、含硅材料、或其它合适构造的材料。挡板310可耦接至致动器330,致动器330经构造以移动挡板310朝向和远离基板支撑件306。致动器330可耦接至功率源328(例如电功率源)以促进处理容积312内挡板310的移动。
在处理期间基板308可放置在基板支撑件306上。在一个实施方式中,基板308的器件侧314可相邻于基板支撑件306而放置,使得器件侧314背向挡板310。在操作中,当基板308放置于处理容积312内时,挡板310可位于升高位置。可在处理期间经由致动器330降低挡板310至接近基板308的处理位置。在处理之后,可升高挡板310且基板支撑件306可经由主体302中的开口350从处理容积312移除基板308。相信通过将挡板310接近基板308和基板支撑件306放置,可在溶剂和/或液体/超临界CO2导入处理容积312期间减低或消除基板308的器件侧314上的颗粒沉积。
图4示意性地图示了根据本文描述的一个实施方式腔室300的截面侧视图。在图示的实施方式中,衬垫318可完整地环绕并界定处理容积312。在此实施方式中,隔离元件316可完整地环绕衬垫318。在某些实施方式中,隔离元件316可不完整地环绕衬垫318。例如,衬垫318的短轴可不使用隔离元件316封闭。
可在主体302中设置一个或多个流体管道402。流体管道402可经由第七管道406耦接至热管理流体源404。流体源404可经构造以提供流体(例如水、乙二醇、或类似流体)至流体管道402,以控制主体302的温度。据此,可使用流体管道402以加热或冷却主体302并促进腔室300的热循环。
图5示意性地图示了根据本文描述的实施方式的并入腔室300的处理平台500的侧视图。预期平台500可相似于处理设备200或处理设备200A。一般而言,腔室300可耦接至传输腔室206,两者皆可设置于平台500上。在图示的实施方式中,腔室300可从水平定向具角度或倾斜。在此实施方式中,可相对于基准平面504所界定的轴以角度506设置腔室300。在一个实施方式中,确定腔室300的倾斜定向的角度506可以相对于基准平面504在约10°和约90°之间。腔室支撑件502可耦接至腔室300且经构造以支撑腔室300于倾斜定向。
腔室300的倾斜定向可有利地实现在将基板308放置在处理容积312中之前以溶剂填充处理容积312。其结果是,可最大化与基板308的溶剂接触以防止在执行溶剂交换和超临界干燥工艺之前基板308的干燥。第六管道342可在经构造以收集处理容积312中大致所有的任何流体的位置处耦接至处理容积312。换句话说,第六管道342可耦接至处理容积312的“最低”的区域。因此,当需要从处理容积移除流体(例如液体溶剂和/或液体CO2)时,流体可以有效方式使用重力流出至流体出口340。
本文描述的实施方式提供用于执行加压基板处理操作的改良腔室。所述腔室采用相邻于处理容积的小热质量以实现温度循环。此外,可以更有效且更及时的方式控制腔室温度。因此,可使用改良的产量和处理结果来实施超临界干燥工艺。
前述内容针对本公开内容的实施方式,可在不脱离其保护范围的情况下,修改本公开内容的其它和进一步的实施方式,且保护范围由随附的权利要求书所确定。

Claims (20)

1.一种基板处理方法,包括:
将位于基板支撑件上的处于器件侧向下的定向的基板传输至具有处理容积的处理腔室中,其中所述基板支撑件耦接到所述处理腔室的门,所述处理腔室包含:
衬垫,所述衬垫设置于所述处理腔室内并相邻于所述处理容积;和
隔离元件,所述隔离元件设置于所述处理腔室主体内并沿着所述处理容积的长轴延伸,其中所述衬垫与所述隔离元件接触,使得所述衬垫被所述隔离元件封闭并且与所述处理容积分隔开来;
相对于重力倾斜所述处理腔室;
将一定量的溶剂导入所述处理容积以至少部分地淹没所述基板;
邻近所述基板的非器件侧定位挡板,其中所述基板的器件侧背向所述挡板;
向所述处理容积提供超临界CO2;和
将所述基板曝露于所述超临界CO2
2.根据权利要求1所述的方法,其中在提供所述超临界CO2之前,将所述挡板移动朝向所述基板支撑件。
3.根据权利要求1所述的方法,进一步包括:利用具有在约2mm和约5mm之间的厚度的所述衬垫环绕所述基板支撑件。
4.根据权利要求3所述的方法,进一步包括:将所述衬垫与所述处理腔室隔离。
5.根据权利要求1所述的方法,进一步包括:向所述处理腔室提供包括CO2的液体。
6.根据权利要求1所述的方法,其中所述溶剂是从由以下项所组成的群组中选择的:丙酮、异丙醇、乙醇、甲醇、N-甲基2-吡咯烷酮、N-甲基甲酰胺、1,3-二甲基-2-咪唑啉酮、二甲基乙酰胺、和二甲基亚砜。
7.根据权利要求6所述的方法,其中向所述处理容积提供超临界CO2的步骤进一步包括:
在低于约5分钟的时间内在约20℃和约50℃之间循环所述处理腔室内的温度。
8.一种基板处理方法,包括:
经由干燥机器手将基板从基板盒传输至湿式清洁腔室;
将所述基板曝露于去离子化水清洁处理;
经由湿式机器手将所述基板传输至溶剂交换腔室;
从所述基板置换残留的去离子化水;
将所述基板传输中具有腔室容积的超临界流体腔室;
将所述基板曝露于超临界流体清洁和干燥处理,所述超临界流体清洁和干燥处理包括:
将处于器件侧向下的定向的基板设置于具有处理容积的处理腔室中的基板支撑件上,其中所述基板支撑件耦接到所述处理腔室的门,所述处理腔室包含:
衬垫,所述衬垫设置于所述处理腔室内并相邻于所述处理容积;和
隔离元件,所述隔离元件设置于所述处理腔室主体内并沿着所述处理容积的长轴延伸,其中所述衬垫与所述隔离元件接触,使得所述衬垫被所述隔离元件封闭并且与所述处理容积分隔开来;
相对于重力倾斜所述处理腔室;
将一定量的溶剂导入所述处理容积以至少部分地淹没所述基板;
邻近所述基板的非器件侧定位挡板,其中所述基板的器件侧背向所述挡板;
向所述处理容积提供超临界流体;和
将所述基板曝露于所述超临界流体;
经由所述湿式机器手将所述基板传输至后处理腔室;和
将所述基板曝露于等离子体处理,以从所述基板移除污染物并释放形成在所述基板上的器件结构的线粘连。
9.根据权利要求8所述的方法,其中在提供所述超临界流体之前,将所述挡板移动朝向所述基板支撑件。
10.根据权利要求8所述的方法,进一步包括:利用具有在约2mm和约5mm之间的厚度的所述衬垫环绕所述基板支撑件。
11.根据权利要求10所述的方法,进一步包括:将所述衬垫与所述处理腔室隔离。
12.根据权利要求8所述的方法,进一步包括:向所述处理腔室提供包括CO2的液体。
13.根据权利要求8所述的方法,其中所述湿式清洁腔室是水平旋转腔室。
14.根据权利要求13所述的方法,进一步包括:
将来自兆声波板的声音能量引导至设置在所述水平旋转腔室中的所述基板的非器件表面上。
15.根据权利要求8所述的方法,其中向所述处理容积提供超临界流体的步骤进一步包括:
在低于约1分钟的时间内在约20℃和约50℃之间循环所述处理腔室内的温度。
16.一种基板处理方法,包括
将处于器件侧向下的定向的基板设置于具有处理容积的处理腔室中的基板支撑件上,其中所述基板支撑件耦接到所述处理腔室的门,所述处理腔室包含:
衬垫,所述衬垫设置于所述处理腔室内并相邻于所述处理容积;和
隔离元件,所述隔离元件设置于所述处理腔室主体内并沿着所述处理容积的长轴延伸,其中所述衬垫与所述隔离元件接触,使得所述衬垫被所述隔离元件封闭并且与所述处理容积分隔开来;
相对于重力倾斜所述处理腔室;
将一定量的溶剂导入所述处理容积以至少部分地淹没所述基板;
将挡板从升高的位置移动到与所述基板的非器件侧相邻的处理位置,其中所述基板的器件侧背向所述挡板;
将液体CO2输送至所述处理容积并将所述液体CO2与所述溶剂混合;
将一定量的额外的液体CO2输送至所述处理容积,使得整个所述处理容积被至少交换一次;
向所述处理容积提供超临界CO2;和
将所述基板曝露于所述超临界CO2
17.根据权利要求16所述的方法,进一步包括:利用具有在约2mm和约5mm之间的厚度的所述衬垫环绕所述基板支撑件。
18.根据权利要求16所述的方法,其中所述溶剂是从由以下项所组成的群组中选择的:丙酮、异丙醇、乙醇、甲醇、N-甲基2-吡咯烷酮、N-甲基甲酰胺、1,3-二甲基-2-咪唑啉酮、二甲基乙酰胺、和二甲基亚砜。
19.根据权利要求16所述的方法,进一步包括:
将来自兆声波板的声音能量引导至所述基板的所述非器件侧上。
20.根据权利要求19所述的方法,进一步包括:
将所述基板曝露于等离子体处理,以从所述基板移除污染物并释放形成在所述基板上的器件结构的线粘连。
CN202211185197.1A 2015-10-04 2016-09-12 小热质量的加压腔室 Pending CN115527897A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562236912P 2015-10-04 2015-10-04
US62/236,912 2015-10-04
CN201680054443.6A CN108140542B (zh) 2015-10-04 2016-09-12 小热质量的加压腔室
PCT/US2016/051341 WO2017062134A1 (en) 2015-10-04 2016-09-12 Small thermal mass pressurized chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680054443.6A Division CN108140542B (zh) 2015-10-04 2016-09-12 小热质量的加压腔室

Publications (1)

Publication Number Publication Date
CN115527897A true CN115527897A (zh) 2022-12-27

Family

ID=58448036

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202211185197.1A Pending CN115527897A (zh) 2015-10-04 2016-09-12 小热质量的加压腔室
CN201680054443.6A Active CN108140542B (zh) 2015-10-04 2016-09-12 小热质量的加压腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201680054443.6A Active CN108140542B (zh) 2015-10-04 2016-09-12 小热质量的加压腔室

Country Status (6)

Country Link
US (2) US10304703B2 (zh)
JP (3) JP6639657B2 (zh)
KR (3) KR102314667B1 (zh)
CN (2) CN115527897A (zh)
TW (2) TWI688437B (zh)
WO (1) WO2017062134A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6559087B2 (ja) * 2016-03-31 2019-08-14 東京エレクトロン株式会社 基板処理装置
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
CN107218788A (zh) * 2017-06-16 2017-09-29 泰州市姜堰新型纺织有限公司 一种特殊纺织面料烘干装置
JP7301575B2 (ja) * 2018-05-15 2023-07-03 東京エレクトロン株式会社 基板処理方法、記憶媒体及び基板処理装置
US10943805B2 (en) * 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
KR20210031527A (ko) 2018-08-06 2021-03-19 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
JP7353213B2 (ja) * 2020-02-28 2023-09-29 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR20230033256A (ko) 2021-08-31 2023-03-08 삼성전자주식회사 기판 이송 유닛, 기판 처리 장치, 및 기판 처리 방법
JP7345016B1 (ja) 2022-06-03 2023-09-14 セメス カンパニー,リミテッド 基板処理装置及び方法

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2906017B2 (ja) 1993-11-18 1999-06-14 東京エレクトロン株式会社 塗布装置
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
JPH10135171A (ja) 1996-10-29 1998-05-22 Dainippon Screen Mfg Co Ltd 基板処理装置
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
KR19990061826A (ko) * 1997-12-31 1999-07-26 윤종용 반도체장치의 챔버에 웨이퍼를 로딩하는 방법 및 반도체장치의챔버
KR100452542B1 (ko) * 1998-04-14 2004-10-12 가부시끼가이샤가이죠 세정물 건조장치 및 건조방법
US6277737B1 (en) * 1998-09-02 2001-08-21 Micron Technology, Inc. Semiconductor processing methods and integrated circuitry
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000340540A (ja) 1999-05-31 2000-12-08 Hitachi Koki Co Ltd 超臨界乾燥装置
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
JP2001077074A (ja) 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
US20040072706A1 (en) 2002-03-22 2004-04-15 Arena-Foster Chantal J. Removal of contaminants using supercritical processing
JP3939178B2 (ja) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP4133209B2 (ja) 2002-10-22 2008-08-13 株式会社神戸製鋼所 高圧処理装置
JP4000052B2 (ja) 2002-12-05 2007-10-31 大日本スクリーン製造株式会社 基板処理装置
US7384484B2 (en) 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
WO2004064121A2 (en) * 2003-01-10 2004-07-29 S.C. Fluids Inc. A supercritical fluid cleaning system and method
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
KR101121937B1 (ko) 2003-03-31 2012-03-14 램 리써치 코포레이션 웨이퍼 처리를 위한 챔버 및 관련 방법
JP4085870B2 (ja) 2003-04-02 2008-05-14 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥法とその装置及びそのシステム
JP2004327894A (ja) * 2003-04-28 2004-11-18 Nippon Telegr & Teleph Corp <Ntt> 超臨界乾燥方法及び超臨界乾燥装置
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) * 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
JP4372590B2 (ja) * 2004-03-26 2009-11-25 株式会社日立ハイテクノロジーズ 微細構造乾燥処理方法及びその装置
WO2005113167A1 (en) 2004-05-07 2005-12-01 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7611124B2 (en) 2004-12-22 2009-11-03 Tokyo Electron Limited Vacuum processing apparatus
TWI245322B (en) 2004-12-31 2005-12-11 Ind Tech Res Inst Substrate cleaning system and process thereof
US20060223899A1 (en) 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
KR20070017255A (ko) 2005-08-06 2007-02-09 삼성전자주식회사 플라즈마 장치의 반도체 기판 고정 장치
JP2007049065A (ja) 2005-08-12 2007-02-22 Ntt Advanced Technology Corp 超臨界処理装置
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
TWI457193B (zh) * 2006-03-02 2014-10-21 Sumitomo Heavy Industries Stage device
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US7772128B2 (en) 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP4787103B2 (ja) 2006-07-28 2011-10-05 東京エレクトロン株式会社 液処理装置
JP2008034779A (ja) 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
JP4994121B2 (ja) * 2006-08-10 2012-08-08 東京エレクトロン株式会社 静電吸着電極、基板処理装置および静電吸着電極の製造方法
KR100822373B1 (ko) * 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4840813B2 (ja) 2006-10-16 2011-12-21 ルネサスエレクトロニクス株式会社 半導体製造方法
JP2008159789A (ja) 2006-12-22 2008-07-10 Renesas Technology Corp 半導体装置の製造方法
KR100876758B1 (ko) 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
TW200834782A (en) * 2007-02-07 2008-08-16 Applied Materials Inc Disposable liners for etch chambers and etch chamber components
US7753353B2 (en) 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US20090013085A1 (en) * 2007-06-18 2009-01-08 Hadas Liberman Ben-Ami Interaction-management methods and platform for client-agent interaction-related environments
EP2212906B1 (en) * 2007-10-27 2022-02-16 LPW Reinigungssysteme GmbH Cyclic nucleation process
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
JP2010045170A (ja) * 2008-08-12 2010-02-25 Hitachi High-Technologies Corp 試料載置電極
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR101044408B1 (ko) 2009-05-27 2011-06-27 세메스 주식회사 기판 처리 방법
JP2011040572A (ja) 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5494146B2 (ja) 2010-04-05 2014-05-14 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
US9004086B2 (en) 2010-11-04 2015-04-14 Lam Research Corporation Methods and apparatus for displacing fluids from substrates using supercritical CO2
JP5685918B2 (ja) 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
SG10201602599XA (en) * 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP2012209285A (ja) * 2011-03-29 2012-10-25 Dainippon Screen Mfg Co Ltd 基板処理装置
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP5985156B2 (ja) 2011-04-04 2016-09-06 東京エレクトロン株式会社 半導体基板の超臨界乾燥方法及び装置
JP5708506B2 (ja) * 2011-04-20 2015-04-30 東京エレクトロン株式会社 処理装置
KR101572746B1 (ko) * 2011-05-30 2015-11-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치 및 기억 매체
WO2012165377A1 (ja) * 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
JP5843277B2 (ja) 2011-07-19 2016-01-13 株式会社東芝 半導体基板の超臨界乾燥方法及び装置
JP5655735B2 (ja) * 2011-07-26 2015-01-21 東京エレクトロン株式会社 処理装置、処理方法及び記憶媒体
JP2013033963A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置及び基板処理方法
JP5497114B2 (ja) * 2011-07-29 2014-05-21 セメス株式会社 基板処理装置及び基板処理方法
JP5686261B2 (ja) * 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd 基板処理装置及び基板処理方法
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101329317B1 (ko) * 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP5470409B2 (ja) 2012-01-27 2014-04-16 ジルトロニック アクチエンゲゼルシャフト 洗浄装置、洗浄設備および洗浄方法
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN103295936B (zh) * 2012-02-29 2016-01-13 斯克林集团公司 基板处理装置及基板处理方法
US9587880B2 (en) 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
JP2013254904A (ja) * 2012-06-08 2013-12-19 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP6010398B2 (ja) 2012-08-31 2016-10-19 株式会社Screenホールディングス 基板処理装置
TWI689004B (zh) * 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
KR102098071B1 (ko) * 2013-09-27 2020-04-07 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
JP6287750B2 (ja) 2013-12-27 2018-03-07 東京エレクトロン株式会社 基板液処理装置
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
CN110211859B (zh) 2014-03-12 2021-10-22 应用材料公司 处理基板的方法
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置
JP2016211030A (ja) * 2015-05-07 2016-12-15 日新電機株式会社 真空処理装置
CN108140549B (zh) * 2015-10-04 2022-12-20 应用材料公司 缩减空间的处理腔室

Also Published As

Publication number Publication date
CN108140542B (zh) 2022-10-18
US20170098555A1 (en) 2017-04-06
JP2020065067A (ja) 2020-04-23
JP7223075B2 (ja) 2023-02-15
KR20200004441A (ko) 2020-01-13
US20190273002A1 (en) 2019-09-05
US10304703B2 (en) 2019-05-28
US11424137B2 (en) 2022-08-23
CN108140542A (zh) 2018-06-08
TW201713418A (zh) 2017-04-16
WO2017062134A1 (en) 2017-04-13
JP2021184479A (ja) 2021-12-02
KR102189211B1 (ko) 2020-12-09
TWI688437B (zh) 2020-03-21
KR20180054876A (ko) 2018-05-24
KR102314667B1 (ko) 2021-10-20
TWI762868B (zh) 2022-05-01
JP6921931B2 (ja) 2021-08-18
KR20200138839A (ko) 2020-12-10
KR102062873B1 (ko) 2020-01-06
JP6639657B2 (ja) 2020-02-05
JP2018530921A (ja) 2018-10-18
TW202034395A (zh) 2020-09-16

Similar Documents

Publication Publication Date Title
CN108140542B (zh) 小热质量的加压腔室
CN108140603B (zh) 基板支撑件和挡板设备
CN108140549B (zh) 缩减空间的处理腔室
CN108140546B (zh) 用于高纵横比特征的干燥工艺

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination