CN113632231A - 3-d nand模具 - Google Patents

3-d nand模具 Download PDF

Info

Publication number
CN113632231A
CN113632231A CN202080024449.5A CN202080024449A CN113632231A CN 113632231 A CN113632231 A CN 113632231A CN 202080024449 A CN202080024449 A CN 202080024449A CN 113632231 A CN113632231 A CN 113632231A
Authority
CN
China
Prior art keywords
layer
oxide
thickness
layers
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080024449.5A
Other languages
English (en)
Inventor
姜昌锡
北岛知彦
穆昆德·斯里尼瓦桑
桑杰·纳塔拉扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113632231A publication Critical patent/CN113632231A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Abstract

提供了制造存储器装置的方法。所述方法减小第一层的厚度并且增大第二层的厚度。半导体装置被描述为具有:膜堆叠,所述膜堆叠在所述装置的第一部分中,包括交替的氮化物层和第二层,所述膜堆叠的所述交替的氮化物层和第二层具有氮化物∶氧化物厚度比(Nf∶Of);和存储器堆叠,所述存储器堆叠在所述装置的第二部分中,包括交替的字线层和第二层,所述存储器堆叠的所述交替的字线层和第二层具有字线∶氧化物厚度比(Wm∶Om),其中0.1(Wm∶Om)<Nf∶Of<0.95(Wm∶Om)。

Description

3-D NAND模具
技术领域
本公开内容的实施方式涉及电子装置以及用于制造所述电子装置的方法和设备的领域。更具体地,本公开内容的实施方式提供用于形成3D-NAND模具堆叠的方法。
背景技术
半导体技术已经迅速地发展,并且随着技术进步,装置尺寸已经缩小,以提供每单位空间的更快速的处理和存储。在NAND装置中,串电流需要足够高才能够获得足够电流来区分ON和OFF单元。串电流取决于载流子迁移率,所述载流子迁移率通过扩大硅沟道的晶粒尺寸来提高。
具有交替的氧化物层和氮化物层的现有3D-NAND存储器堆叠要求替换金属栅极(RMG)工艺来构建字线(word line)。由于留下大量残留氢,因此氮化硅层机械上不稳定。氢不利地影响图案的变形和/或工艺可控制性或者存储器孔蚀刻工艺。
因此,本领域中需要具有较低残留氢水平的3D-NAND装置。另外,本领域中需要用于形成3D-NAND装置的方法和设备。
发明内容
本公开内容的一个或多个实施方式涉及形成存储器装置的方法。在一个实施方式中,一种形成电子装置的方法包括:从包括交替的第二层和第一层的膜堆叠移除一个或多个第一层,所述第一层从所述第一层的第一侧移除以留下由包括多晶硅层的一个或多个膜在第二侧上界定的开口,所述开口具有第一厚度;通过所述开口修整相邻的所述第二层,以将所述开口的厚度从所述第一厚度增大到第二厚度并且将第一氧化物层厚度减小到比所述第一氧化物层厚度小的第二氧化物层厚度;和在所述开口中沉积字线替换材料。
本公开内容的附加实施方式涉及半导体存储器装置。在一个实施方式中,一种半导体存储器装置包括:膜堆叠,所述膜堆叠在所述装置的第一部分中,包括交替的氮化物层和氧化物层,所述膜堆叠的所述交替的氮化物层和氧化物层具有氮化物∶氧化物厚度比(Nf∶Of);和存储器堆叠,所述存储器堆叠在所述装置的第二部分中,包括交替的字线层和氧化物层,所述存储器堆叠的所述交替的字线层和氧化物层具有字线∶氧化物厚度比(Wm∶Om),其中0.1(Wm∶Om)<Nf∶Of<0.95(Wm∶Om)。
本公开内容的另外的实施方式涉及处理工具。在一个实施方式中,一种处理工具包括:中央传送站,所述中央传送站包括被配置为移动晶片的机械手;多个处理站,每个处理站连接至所述中央传送站并且提供与相邻处理站的处理区域分开的处理区域,所述多个处理站包括氧化物层减薄腔室和字线沉积腔室;和控制器,所述控制器连接至所述中央传送站和所述多个处理站,所述控制器被配置为激活所述机械手以在所述处理站之间移动所述晶片和控制在所述处理站的每一者中发生的工艺。
附图说明
为了可详细地理解本公开内容的上述特征的方式,可参照实施方式来得到以上简要地概述的本公开内容的更具体的描述,其中一些实施方式示出在附图中。然而,需注意,附图仅示出本公开内容的典型的实施方式,并且因此不应视为对其范围的限制,因为本公开内容可允许其他等效实施方式。在附图中的各图中以示例而非限制的方式示出如本文所描述的实施方式,其中相似的附图标记指示类似的要素。
图1描绘了根据本文描述的实施方式的形成存储器装置的方法的一个实施方式的工艺流程图;
图2示出了根据一个或多个实施方式的具有存储器堆叠的装置的截面图;
图3示出了根据一个或多个实施方式的在形成存储器堆叠的阶梯图案之后的基板的截面图;
图4A示出了根据一个或多个实施方式的在形成存储器孔之后的基板的截面图;
图4B示出了根据一个或多个实施方式的图4A的基板的区域103的截面图;
图5A示出了根据一个或多个实施方式的在选择性地氧化氮化物层之后的基板的截面图;
图5B示出了根据一个或多个实施方式的区域101的放大图;
图6A示出了根据一个或多个实施方式的基板的截面图;
图6B示出了根据一个或多个实施方式的之后的区域101的放大图;
图7示出了根据一个或多个实施方式的在形成位线焊盘(bit line pad)之后的基板的截面图;
图8示出了根据一个或多个实施方式的在沉积层间电介质之后的基板的截面图;
图9示出了根据一个或多个实施方式的在狭缝图案化之后的基板的截面图;
图10示出了根据一个或多个实施方式的在移除牺牲层之后的基板的截面图;
图11示出了根据一个或多个实施方式的基板的截面图;
图12示出了根据一个或多个实施方式的基板的截面图;
图13A示出了根据一个或多个实施方式的在蚀刻氮化物之后的基板的截面图;
图13B示出了图13A的区域201的放大图;
图14A示出了根据一个或多个实施方式的基板的截面图;
图14B示出了图14A的区域201的放大图;
图15A示出了根据一个或多个实施方式的基板的截面图;
图15B示出了图15A的区域201的放大图;
图16示出了根据一个或多个实施方式的基板的截面图;
图17示出了根据一个或多个实施方式的基板的截面图;
图18示出了根据一个或多个实施方式的基板的截面图;并且
图19示出了根据一个或多个实施方式的群集工具。
具体实施方式
在描述本公开内容的若干示例性实施方式之前,将理解,本公开内容不限于以下描述中阐述的构造或工艺步骤的细节。本公开内容能够具有其他实施方式并且能够以各种方式实践或进行。
具有交替的氧化物层和氮化物层的现有3D-NAND存储器堆叠要求替换金属栅极(RMG)工艺来构建字线。由于留下大量残留氢,因此氮化硅层机械上不稳定。氢不利地影响图案的变形和/或工艺可控制性或者存储器孔蚀刻工艺。因此,本公开内容的实施方式提供了减小氮化物层的厚度和增大氧化物层的厚度的方法。因此,一个或多个实施方式的氧化物/氮化物模具可以减小的SiN厚度和增大的氧化物厚度来实现氧化物/氮化物模具的期望厚度。在一个或多个实施方式中,交替层不限于氮化物和氧化物的交替层,而是可包括第一材料和第二材料的交替层。
为了控制在多晶硅与金属之间的表面,金属沉积和其他工艺可在隔离环境(例如,群集处理工具)中进行。因此,本公开内容的一些实施方式提供了具有相关工艺模块的集成工具系统以实现方法。
图1示出了用于形成存储器装置的示例性方法10的流程图。本领域技术人员将认识到,方法10可包括所示的工艺中的任何或所有工艺。另外,对于一些部分,各个工艺的次序可不同。在不脱离本公开内容的情况下,方法10可从所列举的工艺中的任一者开始。参照图1,在操作15处,形成存储器堆叠。在操作20处,在存储器堆叠中形成字线阶梯。在操作25处,将存储器孔沟道图案化为字线阶梯。在操作30处,可选地,可通过存储器孔沟道选择性地氧化第一层(例如,氮化物层)。在操作35处,沉积晶体管层。在操作40处,形成位线焊盘。在操作45处,沉积层间电介质。在操作50处,狭缝图案化存储器阶梯。在操作55处,移除牺牲层。在操作60处,沉积半导体材料。在操作65处,移除第一层(例如,氮化物层)。在操作70处,修整第二层(例如,氧化物层)。在操作75处,沉积字线替换材料。在操作80处,填充狭缝,并且在操作85处,形成字线触点(word line contact)。
图2至图18示出了遵循针对图1中的方法10示出的工艺流程的存储器装置100的一部分。
图2示出了根据本公开内容的一个或多个实施方式的电子装置100的初始或起始存储器堆叠。在一些实施方式中,图2所示的电子装置100在裸基板105上分层地形成,如图所示。图2的电子装置由基板105、半导体层110、牺牲层120、存储器堆叠130和氧化物层140组成。
基板105可以是本领域技术人员已知的任何合适的材料。如本说明书和所附权利要求书所使用的,术语“基板”是指工艺作用于的表面或表面的一部分。本领域技术人员还将理解,除非上下文另外清楚地指明,否则对基板的提及可仅指基板的一部分。另外,对在基板上沉积的提及可意指裸基板和具有一个或多个膜或特征沉积或形成在其上的基板两者。
如本文所使用的“基板”是指任何基板或在制造工艺期间在其上执行膜处理的基板上形成的材料表面。例如,其上可执行处理的基板表面包括如下材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂的氧化硅、非晶硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石和任何其他材料(诸如金属、金属氮化物、金属合金和其他导电材料),这取决于应用。基板包括但不限于半导体晶片。基板可被暴露于预处理工艺以对基板表面进行抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤。除了直接地在基板本身的表面上进行膜处理之外,在本公开内容中,所披露的膜处理步骤中的任一者还可如以下更详细地披露的那样在形成在基板上的下面层上执行,并且术语“基板表面”旨在包括如上下文所指示的此类下面层。因此,例如,在膜/层或部分膜/层已经沉积到基板表面上时,新沉积的膜/层的暴露表面就变成了基板表面。
半导体层110位于基板105上。半导体层110也可称为公共源极线。半导体层110可通过本领域技术人员已知的任何合适的技术形成,并且可由包括但不限于多晶硅(多晶Si)的任何合适的材料制成。在一些实施方式中,半导体层110是由导电或半导体材料制成的公共源极线。
牺牲层120形成在半导体层110上并且可由任何合适的材料制成。在一些实施方式中,在后续工艺中移除和替换牺牲层120。在一些实施方式中,牺牲层120没有被移除并且保留在存储器装置100内。在这种情况下,术语“牺牲”具有包括永久层的扩展含义并且可称为导电层。在所示的实施方式中,如以下进一步描述的,在操作55中,移除牺牲层120。在一个或多个实施方式中,牺牲层120包括可相对于邻近半导体层110和氧化物层132被选择性地移除的材料。
在牺牲层120上形成存储器堆叠130。在所示的实施方式中的存储器堆叠130包括多个交替的第二层132和第一层134。在一个或多个实施方式中,第一层134包括氮化物层,并且第二层132包括氧化物层。在一些实施方式中,存储器堆叠130包括非替换栅极,诸如交替的氧化物和多晶硅(OP)、或氧化物和金属、或氧化物和牺牲层。第一层134包括相对于第二层132有蚀刻选择性的材料,使得可移除第一层134而实质上不影响第二层132。在一个或多个实施方式中,第一层134包括氮化硅。在一个或多个实施方式中,第二层132包括氧化硅。
单独的交替层可形成为任何合适的厚度。在一些实施方式中,每个第二层132的厚度近似相等。在一个或多个实施方式中,每个第二层132具有第一第二层厚度。在一些实施方式中,每个第一层134的厚度近似相等。如在这方面所使用的,近似相等的厚度在彼此的+/-5%以内。在一些实施方式中,在第二层132与第一层134之间形成硅层(未示出)。与第二层132或第一层134的层厚度相比,硅层的厚度可相对较薄。在一个或多个实施方式中,第一层134具有在从约0.5nm至约30nm范围内的厚度,包括约1nm、约3nm、约5nm、约7nm、约10nm、约12nm、约15nm、约17nm、约20nm、约22nm、约25nm、约27nm和约30nm。在一个或多个实施方式中,氮化物层134具有在从约0.5nm至约40nm的范围内的厚度。
参照图3,在方法10的操作20处,形成阶梯结构131。阶梯结构131暴露第二层132的顶表面135。顶表面135可用于为要形成的字线触点提供空间,如下所述。可沉积合适的填充材料137以占据在阶梯结构131外部的空间。本领域技术人员将理解,合适的填充材料137可以是防止在相邻字线之间的电短路的任何材料。在阶梯结构131中,每条字线具有比下方字线小的宽度(在附图中从左到右示出)。如“上方”和“下方”之类的相对术语的使用不应被视为将本公开内容的范围限制为在空间中的物理取向。
参照图4A和图4B,在操作25处,穿过存储器堆叠130打开存储器孔沟道150。在一些实施方式中,打开存储器孔沟道150包括蚀刻穿过氧化物层140、存储器堆叠130、牺牲层120并进入半导体层110。参照图4B,该图是区域103的放大图,存储器孔沟道150具有延伸穿过存储器堆叠130、第二层132的暴露表面138和第一层134的表面139的侧壁。
牺牲层120具有暴露为存储器孔沟道150的侧壁的表面122。存储器沟道孔150向半导体层110中延伸了一定距离,使得存储器孔沟道150的侧壁表面112和底部114形成在半导体层110内。存储器孔沟道150的底部114可形成在半导体层110的厚度内的任何点处。在一些实施方式中,存储器孔沟道150向半导体层110中延伸了在半导体层110的厚度的从约10%至约90%的范围内、或从约20%至约80%的范围内、或从约30%至约70%的范围内、或从约40%至约60%的范围内的厚度。在一些实施方式中,存储器孔沟道150向半导体层110中延伸了大于或等于半导体层110的厚度的10%、20%、30%、40%、50%、60%、70%或80%的距离。
图5A示出了操作30,其中通过存储器孔沟道150选择性地氧化第一层134(例如,氮化物层)。在一个或多个实施方式中,第一层134(例如,氮化物层)的选择性氧化是可选的。图5B是图5A的区域101的放大图。在一个或多个实施方式中,在环境压力下在氢(H2)气和氧(O2)气的气氛中在从约700℃至约900℃的范围内的温度下通过原位蒸汽产生(in situsteam generation,ISSG)氧化或自由基等离子体氧化(RPO)来选择性地氧化第一层134(例如,氮化物层)。ISSG氧化物155在第一层134(例如,氮化物层)中与存储器孔沟道150相邻地形成。不受理论束缚,认为ISSG氧化物155在氮化物被热磷拉回期间保护阻挡氧化物176免受蚀刻。在一个或多个实施方式中,ISSG氧化物层155或RPO氧化物层155具有约2nm的厚度。
图6A和图6B示出了操作35,其中将晶体管层165保形地沉积到存储器孔沟道150中、与第二层132和ISSG氧化物层155或RPO氧化物层155相邻。晶体管层165可通过本领域技术人员已知的任何合适的技术形成。在一些实施方式中,晶体管层165通过保形沉积工艺形成。在一些实施方式中,晶体管层165通过原子层沉积或化学气相沉积中的一种或多种形成。
在一个或多个实施方式中,晶体管层165的沉积是实质上保形的。如本文所使用的,“实质上保形”的层是指厚度在各处(例如,在侧壁的顶部、中部和底部上和在存储器孔沟道150的底部上)大致相同的层。实质上保形的层的厚度的变化小于或等于约5%、2%、1%或0.5%。
参照图6B,该图是区域101的放大图,在一个或多个实施方式中,晶体管层165包括阻挡氧化物层176(或第一氧化物层176)、在第一氧化物层176上的氮化物阱层174、在氮化物阱层174上的第二氧化物层172(或隧穿氧化物层172)和在第二氧化物层172上的存储器孔沟道150中的多晶硅层170。在一个或多个实施方式中,阻挡氧化物层176、电荷阱氮化物(SiN)层174和隧穿氧化物层172沉积在存储器孔沟道150中的存储器孔沟道150的侧壁上或半导体层110上。
在一个或多个实施方式中,多晶硅(多晶Si)层170在存储器孔沟道150中与晶体管层165相邻地形成。多晶硅层170可直接地形成在晶体管层165上。多晶硅层170可通过本领域技术人员已知的任何合适的技术来沉积,包括但不限于原子层沉积和化学气相沉积。在一些实施方式中,多晶硅层170被沉积为保形层,使得多晶硅层形成在存储器孔沟道150的侧壁和暴露表面138、139、122、112以及底部114(参见图4B)上。
多晶硅层170可具有任何合适的厚度,这取决于例如存储器孔沟道150的尺寸。在一些实施方式中,多晶硅层170具有在从约0.5nm至约50nm的范围内、或从约0.75nm至约35nm的范围内、或从约1nm至约20nm的范围内的厚度。在一些实施方式中,多晶硅层170是连续膜。在一个或多个实施方式中,利用在隧道氧化物层172上的保形沉积以通心粉(macaroni)型形成多晶硅层170,多晶硅层170具有在从约1nm至约20nm的范围内的厚度。然后,用介电材料160填充存储器孔沟道150。
图7示出了方法10的操作40,其中位线焊盘180形成在多晶硅(多晶Si)层160中。位线焊盘180可以是本领域技术人员已知的任何合适的材料,包括但不限于多晶硅。
图8示出了方法10的操作45,其中在氧化物层140和位线焊盘180的顶表面上沉积层间电介质185。层间电介质(ILD)185可通过本领域技术人员已知的任何合适的技术来沉积。层间电介质185可包括本领域技术人员已知的任何合适的材料。在一个或多个实施方式中,层间电介质185是低k电介质,包括但不限于诸如例如二氧化硅、氧化硅、碳掺杂的氧化物(“CDO”)(例如,碳掺杂的二氧化硅)、多孔二氧化硅(SiO2)、氮化硅(SiN)或它们的任何组合的材料。尽管可使用术语“氧化硅”来描述层间电介质185,但是本领域技术人员将认识到,本公开内容不限于特定化学计量。例如,术语“氧化硅”和“二氧化硅”都可用于描述具有任何合适的化学计量比的硅和氧原子的材料。对于本公开内容中列出的其他材料(例如,氮化硅、氧氮化硅、氧化铝、氧化锆和类似者)也是如此。
图9示出了方法10的操作50,其中狭缝图案化存储器堆叠130以形成从层间电介质185的顶表面延伸到基板105的狭缝图案开口190。
图10示出了方法10的操作55,其中移除牺牲层120以及多晶硅层160的部分165。牺牲层120可通过本领域技术人员已知的任何合适的技术来移除,包括但不限于选择性蚀刻。
图11示出了方法10的操作60,其中在狭缝图案开口190中沉积半导体材料(例如,氮化物和多晶硅填充物)195。半导体材料可以是本领域技术人员已知的任何合适的材料。
图12示出了从狭缝图案开口190的侧壁移除半导体材料195的情况。不受理论束缚,狭缝图案开口190应大于公共源极线110(半导体层110)的高度,使得狭缝图案开口190中可存在开口,以便从侧壁移除半导体材料195。在一个或多个实施方式中,通过各向同性蚀刻工艺(例如,使用TMAH或类似者的湿法蚀刻)从狭缝图案开口190的侧壁移除半导体材料195。
图13A和图13B示出了方法10的操作65,其中移除第一层134(例如,氮化物层)中的一者或多者以形成开口210和狭缝图案开口190。在一个或多个实施方式中,开口210具有在从约1nm至约50nm的范围内的第一厚度t1,包括约5nm、约7nm、约10nm、约12nm、约15nm、约17nm、约20nm、约22nm、约25nm、约27nm、约30nm、约32nm、约35nm、约37nm、约40nm、约42nm、约45nm、约47nmnm、约50nm。图13B是图13A中的基板的部分201的放大图。在一个或多个实施方式中,在移除第一层134(例如,氮化物层)中的一者或多者时,将第一层134(例如,氮化物层)的第一侧暴露于狭缝图案开口190,并且通过狭缝图案开口190将第一层134(例如,氮化物层)的第一侧暴露于蚀刻剂。
图14A和图14B示出了方法10的操作70,其中通过开口210修整第二层132(例如,氧化物层)以将开口210的厚度从第一厚度t1增大到第二厚度t2。在一个或多个实施方式中,第二厚度t2大于或等于比第一厚度t1大约50%至约75%。在一个或多个实施方式中,第二厚度t2比第一厚度t1大约50%、或约55%、或约60%、或约65%、或约70%、或约75%。在一个或多个实施方式中,当修整第二层132(例如,氧化物层)时,将第二层132的厚度减小到第二层的第二厚度,第二层的第二厚度小于第二层的第一厚度。在一个或多个实施方式中,存储器堆叠130的第二层132(例如,氧化物层)具有平均厚度,即第二层132的第二厚度,其在从约5nm至约30nm的范围内,包括约5nm、约7nm、约10nm、约12nm、约15nm、约17nm、约20nm、约22nm、约25nm、约27nm和约30nm。在一个或多个实施方式中,存储器堆叠130的氧化物层132具有平均厚度,即第二氧化物层厚度,其在从约5nm至约30nm的范围内,包括约5nm、约7nm、约10nm、约12nm、约15nm、约17nm、约20nm、约22nm、约25nm、约27nm和约30nm。
在一个或多个实施方式中,通过经由狭缝图案开口190将第二层132(例如,氧化物层)暴露于基于氟的气相干式清洁工艺或经稀释的氟化氢(HF)溶液来修整第二层132(例如,氧化物层)。在一个或多个实施方式中,修整第二层132包括经由狭缝图案开口190将第二层132暴露于基于氟的气相干式清洁化学品(chemistry)或经稀释的氟化氢(HF)化学品。不受理论束缚,认为由于干法化学蚀刻不涉及任何液体,因此可避免在氧化物移除期间因表面张力而造成的氧化物塌缩(collapse)。当修整第二层132(例如,氧化物层)时,第二层132(例如,氧化物层)的厚度减小,并且开口210的厚度增大/加宽。在一个或多个实施方式中,开口210的厚度从第一厚度t1增大到第二厚度t2,并且第二层132(例如,氧化物层)的厚度减小到比第二层132的第一厚度小的第二层132的第二厚度。
图15A和图15B示出了方法10的操作75,其中在开口210中沉积氧化铝层215和字线替换材料225。图15B是图15A的装置的部分201的放大图。在一个或多个实施方式中,字线替换材料225包括氮化物衬垫220(例如,氮化钛、氮化钽或类似者)和块体金属(bulk metal),所述块体金属包括铜(Cu)、钴(Co)、钨(W)、铝(Al)、钌(Ru)、铱(Ir)、钼(Mo)、铂(Pt)、钽(Ta)、钛(Ti)或铑(Rh)中的一种或多种。在一个或多个实施方式中,块体金属包括钨(W)。在其他实施方式中,块体金属层包括钌(Ru)。
图16示出方法10的操作80,其中用填充材料230填充狭缝图案开口190。填充材料230可以是本领域技术人员已知的任何合适的材料。在一个或多个实施方式中,填充材料230包括介电材料或导体材料中的一种或多种。如本文所使用的,术语“介电材料”是指作为可在电场中极化的电绝缘体的材料层。在一个或多个实施方式中,介电材料包括氧化物、碳掺杂的氧化物、氧化硅(SiO)、多孔二氧化硅(SiO2)、氧化硅(SiO)、氮化硅(SiN)、氧化硅/氮化硅、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷硅酸盐玻璃、氟硅酸盐(SiOF)玻璃或有机硅酸盐玻璃(SiOCH)中的一种或多种。
图17示出了方法10的操作85,其中形成字线触点235。字线触点235延伸通过存储器堆叠130足以终止于字线225中的一者处的距离。在一个或多个实施方式中,字线触点235可包括本领域技术人员已知的任何合适的材料。在一个或多个实施方式中,字线触点235包括金属、金属硅化物、多晶硅、非晶硅或EPI硅中的一种或多种。在一个或多个实施方式中,字线触点掺杂有N型掺杂剂或P型掺杂剂,以便减小接触电阻。在一个或多个实施方式中,字线触点235的金属选自铜(Cu)、钴(Co)、钨(W)、钛(Ti)、钼(Mo)、镍(Ni)、钌(Ru)、银(Ag)、金(Au)、铱(Ir)、钽(Ta)或铂(Pt)中的一种或多种。
图18示出了根据一个或多个实施方式的半导体存储器装置。存储器装置100包括:膜堆叠120,所述膜堆叠在装置100的第一部分300中,包括交替的第一层134(例如,氮化物层)和第二层132(例如,氧化物层),膜堆叠120的交替的第一层134(例如,氮化物层)和第二层132(例如,氧化物层)具有氮化物∶氧化物厚度比(Nf∶Of)。存储器堆叠130在装置100的第二部分400中,包括交替的字线层225和第二层132(例如,氧化物层),存储器堆叠130的交替的字线层225和第二层132(例如,氧化物层)具有字线∶氧化物厚度比(Wm∶Om),其中0.1(Wm∶Om)<Nf∶Of<0.95(Wm∶Om)。在一个或多个实施方式中,0.2(Wm∶Om)<Nf∶Of<0.9(Wm∶Om)。在其他实施方式中,0.5(Wm∶Om)<Nf∶Of<0.75(Wm∶Om)。在一个或多个实施方式中,膜堆叠120的第一层134(例如,氮化物层)具有在从约0.5nm至约30nm的范围内的厚度,包括约1nm、约3nm、约5nm、约7nm、约10nm、约12nm、约15nm、约17nm、约20nm、约22nm、约25nm、约27nm和约30nm。在一个或多个实施方式中,Wm∶Om在从约2.5∶2至约3.5∶2的范围内。
在一个或多个实施方式中,膜堆叠120的第一层134(例如,氮化物层)具有在从约0.5至约50nm的范围(包括从约1nm至约50nm的范围和从1nm至约30nm的范围)内的厚度。在一个或多个实施方式中,存储器堆叠130的第二层132(例如,氧化物层)具有在从约10nm至约20nm的范围内的平均厚度。
在一个或多个实施方式中,一种形成电子装置的方法包括:从包括交替的第二层和第一层的膜堆叠移除一个或多个第一层,所述第一层从第一层的第一侧移除以留下由包括多晶硅层的一个或多个膜在第二侧上界定的开口,开口具有第一厚度;通过开口修整第二层,以将开口的厚度从第一厚度增大到第二厚度并且将第一第二层厚度减小到比第一第二层厚度小的第二氧化物层厚度;以及在开口中沉积字线替换材料。
本公开内容的附加实施方式涉及用于形成所描述的存储器装置和方法的处理工具900,如图19所示。
群集工具900包括具有多个侧面的至少一个中央传送站921、931。机械手925、935定位在中央传送站921、931内,并且被配置为将机械手叶片和晶片移动到多个侧面中的每一者。
群集工具900包括连接至中央传送站的多个处理腔室902、904、906、908、910、912、914、916和918,这些处理腔室也称为处理站。各种处理腔室提供与相邻处理站隔离的单独处理区域。处理腔室可以是任何合适的腔室,包括但不限于预清洁腔室、缓冲腔室、传送空间、晶片定向器/脱气腔室、低温冷却腔室、沉积腔室、退火腔室、蚀刻腔室、选择性氧化腔室、氧化物层减薄腔室或字线沉积腔室。处理腔室和部件的具体布置可根据群集工具而变化,并且不应被视为限制本公开内容的范围。
在一些实施方式中,群集工具900包括氧化物层减薄腔室。一些实施方式的氧化物层减薄腔室包括一个或多个基于氟的干式清洁腔室。在一些实施方式中,群集工具900包括连接至中央传送站的预清洁腔室。
在图19所示的实施方式中,工厂接口950连接至群集工具900的前部。工厂接口950包括在工厂接口950的前部951上的装载腔室954和卸载腔室956。尽管装载腔室954被示出在左侧并且卸载腔室956被示出在右侧,但是本领域技术人员将理解,这仅代表一种可能的配置。
装载腔室954和卸载腔室956的大小和形状可根据例如在群集工具900中处理的基板而变化。在所示的实施方式中,装载腔室954和卸载腔室956的大小设定为保持晶片盒,所述晶片盒中定位有多个晶片。
机械手952位于工厂接口950内并且可在装载腔室954与卸载腔室956之间移动。机械手952能够将晶片从装载腔室954中的盒经由工厂接口950传送到装载锁定腔室960。机械手952还能够将晶片从装载锁定腔室962经由工厂接口950传送到卸载腔室956中的盒。如本领域技术人员将理解的,工厂接口950可具有多于一个机械手952。例如,工厂接口950可具有在装载腔室954与装载锁定腔室960之间传送晶片的第一机械手和在装载腔室962与卸载腔室956之间传送晶片的第二机械手。
所示的群集工具900具有第一区段920和第二区段930。第一区段920通过装载锁定腔室960、962连接至工厂接口950。第一区段920包括第一传送腔室921,所述第一传送腔室中定位有至少一个机械手925。机械手925也称为机械手晶片运输机构。第一传送腔室921相对于装载锁定腔室960、962、处理腔室902、904、916、918和缓冲腔室922、924位于中心。一些实施方式的机械手925是能够一次独立地移动多于一个晶片的多臂机械手。在一些实施方式中,第一传送腔室921包括多于一个机械手晶片运输机构。第一传送腔室921中的机械手925被配置为在第一传送腔室921周围的腔室之间移动晶片。单独晶片承载在位于第一机械手机构的远端处的晶片运输叶片上。
在第一区段920中处理晶片之后,晶片可通过穿通腔室(pass-through chamber)传递到第二区段930。例如,腔室922、924可以是单向或双向穿通腔室。穿通腔室922、924可用于例如在第二区段930中进行处理之前对晶片进行低温冷却,或者允许晶片在移动回到第一区段920之前进行冷却或后处理。
系统控制器990与第一机械手925、第二机械手935、第一多个处理腔室902、904、916、918和第二多个处理腔室906、908、910、912、914通信。系统控制器990可以是可控制处理腔室和机械手的任何合适的部件。例如,系统控制器990可以是包括中央处理单元、存储器、合适的电路和存储设备的计算机。
工艺一般可作为软件程序存储在系统控制器990的存储器中,所述软件程序在由处理器执行时致使工艺腔室执行本公开内容的工艺。软件程序还可由远离由处理器控制的硬件定位的第二处理器(未示出)存储和/或执行。本公开内容的方法的一些或全部也可在硬件中执行。因此,工艺可以在软件中实现并使用计算机系统来执行,可以在硬件(例如,专用集成电路或其他类型的硬件实现方式)中实现,或者可作为软件和硬件的组合实现。软件程序在由处理器执行时将通用计算机变换成控制腔室操作以使得执行工艺的专用计算机(控制器)。
在一些实施方式中,系统控制器990具有用于控制选择性氧化腔室以在环境压力下在氢(H2)气和氧(O2)气的气氛中在从约400℃至约900℃的范围内的温度下选择性地氧化在晶片上的第一层(例如,氮化物层)的配置。在一些实施方式中,控制器990具有用于激活氧化物层减薄腔室以使用基于氟化氢(HF)溶液的蚀刻中的基于氟的干法蚀刻来从晶片移除氧化物层的部分的配置。
在一个或多个实施方式中,一种处理工具包括:中央传送站,所述中央传送站包括被配置为移动晶片的机械手;多个处理站,每个处理站连接至中央传送站并且提供与相邻处理站的处理区域分开的处理区域,所述多个处理站包括氧化物层减薄腔室和字线沉积腔室;以及控制器,所述控制器连接至中央传送站和多个处理站,所述控制器被配置为激活机械手以在处理站之间移动晶片和控制在处理站的每一者中发生的工艺。
除非本文另外指明或与上下文明显矛盾,否则在描述本文讨论的材料和方法的上下文中(尤其是在所附权利要求书的上下文中)使用术语“一个/一种(a/an)”和“该/所述(the)”以及类似指称将理解为涵盖单数和复数两者。除非本文另外指明,否则本文对值的范围的表述仅旨在用作单独地提及落在该范围内的每个单独值的简要方法,并且每个单独值结合在说明书中,如同本文单独地表述一样。本文中描述的所有方法可以任何合适的顺序执行,除非本文另有指明或明显地与上下文矛盾。除非本文另外要求保护,否则本文提供的任何和所有示例或者示例性语言(例如,“诸如”)的使用仅旨在更好地说明材料和方法并且不对范围构成限制。在说明书中的语言不应理解为指示任何非要求保护的要素对所披露的材料和方法的实践是必要的。
在整个本说明书中对“一个实施方式”、“某些实施方式”、“一个或多个实施方式”或“实施方式”的提及意指结合实施方式描述的具体特征、结构、材料或特性被包括在本公开内容的至少一个实施方式中。因此,在整个本说明书的各处出现诸如“在一个或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在实施方式中”的短语不一定是指本公开内容的同一个实施方式。此外,具体特征、结构、材料或特性可以任何合适的方式组合在一个或多个实施方式中。
尽管已经参照具体实施方式来描述本文的公开内容,但是将理解,这些实施方式仅说明本公开内容的原理和应用。本领域的技术人员将清楚,在不脱离本公开内容的精神和范围的情况下,可对本公开内容的方法和设备做出各种修改和变化。因此,本公开内容旨在包括在所附权利要求书及其等效物的范围内的修改和变化。

Claims (15)

1.一种形成电子装置的方法,所述方法包括:
从包括交替的第二层和第一层的膜堆叠移除一个或多个第一层,所述第一层从所述第一层的第一侧移除以留下由包括多晶硅层的一个或多个膜在第二侧上界定的开口,所述开口具有第一厚度;
通过所述开口修整所述第二层,以将所述开口的厚度从所述第一厚度增大到第二厚度并且将所述第二层的第一厚度减小到比所述第二层的所述第一厚度小的所述第二层的第二厚度;和
在所述开口中沉积字线替换材料。
2.如权利要求1所述的方法,其中所述第一层包括氮化物层,并且所述第二层包括氧化物层。
3.如权利要求2所述的方法,其中所述第二层包括氧化硅,所述第一层包括氮化硅,并且所述字线替换材料包括钨。
4.如权利要求1所述的方法,其中所述第二厚度大于或等于比所述第一厚度大约50%。
5.如权利要求1所述的方法,其中所述第一厚度在从约1nm至约50nm的范围内。
6.如权利要求1所述的方法,其中移除所述一个或多个第一层进一步包括:
形成穿过所述膜堆叠的狭缝图案开口,所述第一层的所述第一侧被暴露于所述狭缝图案开口;和
通过所述狭缝图案开口将所述第一层的所述第一侧暴露于蚀刻剂。
7.如权利要求6所述的方法,其中修整所述第二层包括通过所述狭缝图案开口将所述第二层暴露于基于氟的干式清洁化学品或经稀释的HF化学品。
8.如权利要求3所述的方法,其中所述字线替换材料进一步包括在所述钨与所述第二层之间的氮化钛衬垫。
9.如权利要求1所述的方法,进一步包括:
形成穿过所述膜堆叠的存储器孔沟道;
沉积第一氧化物沟道层;
在所述第一氧化物沟道层上沉积氮化物沟道层;
在所述氮化物沟道层上沉积第二氧化物沟道层;和
在所述第二氧化物沟道层上的所述存储器孔沟道中形成所述多晶硅层。
10.如权利要求1所述的方法,进一步包括将所述开口暴露于原位蒸汽工艺,以在所述开口的所述第二侧处形成原位蒸汽产生(ISSG)氧化物层或自由基等离子体氧化(RPO)氧化物层。
11.如权利要求10所述的方法,其中在修整所述第二层的同时,从所述开口的所述第二侧移除在所述开口的所述第二侧处的所述氧化物层。
12.如权利要求10所述的方法,其中所述ISSG氧化物层或RPO氧化物层具有约2nm的厚度。
13.一种半导体存储器装置,包括:
膜堆叠,所述膜堆叠在所述半导体存储器装置的第一部分中,包括交替的氮化物层和氧化物层,所述膜堆叠的所述交替的氮化物层和氧化物层具有氮化物∶氧化物厚度比(Nf∶Of);和
存储器堆叠,所述存储器堆叠在所述半导体存储器装置的第二部分中,包括交替的字线层和氧化物层,所述存储器堆叠的所述交替的字线层和氧化物层具有字线∶氧化物厚度比(Wm∶Om),
其中0.1(Wm∶Om)<Nf∶Of<0.95(Wm∶Om)。
14.如权利要求13所述的半导体存储器装置,其中所述膜堆叠的所述氮化物层具有在从约1nm至约50nm的范围内的厚度,所述存储器堆叠的所述氧化物层具有在从约10nm至约20nm的范围内的平均厚度,并且Wm∶Om在从约2.5∶2至约3.5∶2的范围内。
15.一种处理工具,包括:
中央传送站,所述中央传送站包括被配置为移动晶片的机械手;
多个处理站,每个处理站连接至所述中央传送站并且提供与相邻处理站的处理区域分开的处理区域,所述多个处理站包括氧化物层减薄腔室和字线沉积腔室;和
控制器,所述控制器连接至所述中央传送站和所述多个处理站,所述控制器被配置为激活所述机械手以在所述处理站之间移动所述晶片和控制在所述处理站的每一者中发生的工艺。
CN202080024449.5A 2019-04-01 2020-04-01 3-d nand模具 Pending CN113632231A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962827360P 2019-04-01 2019-04-01
US62/827,360 2019-04-01
US16/833,899 2020-03-30
US16/833,899 US11189635B2 (en) 2019-04-01 2020-03-30 3D-NAND mold
PCT/US2020/026067 WO2020205908A1 (en) 2019-04-01 2020-04-01 3-d nand mold

Publications (1)

Publication Number Publication Date
CN113632231A true CN113632231A (zh) 2021-11-09

Family

ID=72604843

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080024449.5A Pending CN113632231A (zh) 2019-04-01 2020-04-01 3-d nand模具

Country Status (5)

Country Link
US (1) US11189635B2 (zh)
JP (1) JP7443393B2 (zh)
KR (1) KR20210130850A (zh)
CN (1) CN113632231A (zh)
WO (1) WO2020205908A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11101289B1 (en) 2020-02-19 2021-08-24 Sandisk Technologies Llc Three-dimensional memory device with composite charge storage structures and methods for forming the same
US11114462B1 (en) * 2020-02-19 2021-09-07 Sandisk Technologies Llc Three-dimensional memory device with composite charge storage structures and methods for forming the same
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100711519B1 (ko) 2005-08-19 2007-04-27 삼성전자주식회사 고농도로 도핑된 실리콘 박막의 형성 방법 및 이를 이용한비휘발성 메모리 장치의 제조 방법
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US8623171B2 (en) 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8188445B2 (en) 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
CN102034863B (zh) 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8551833B2 (en) 2011-06-15 2013-10-08 International Businesss Machines Corporation Double gate planar field effect transistors
US8536029B1 (en) 2012-06-21 2013-09-17 International Business Machines Corporation Nanowire FET and finFET
US9190498B2 (en) 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9275909B2 (en) * 2013-08-12 2016-03-01 Micron Technology, Inc. Methods of fabricating semiconductor structures
US9431410B2 (en) 2013-11-01 2016-08-30 Micron Technology, Inc. Methods and apparatuses having memory cells including a monolithic semiconductor channel
WO2015171335A1 (en) 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing
KR20150130103A (ko) 2014-05-13 2015-11-23 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US10950722B2 (en) 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
CN106206447A (zh) 2015-05-05 2016-12-07 中芯国际集成电路制造(上海)有限公司 3d nand器件的形成方法
US9449985B1 (en) * 2015-05-26 2016-09-20 Sandisk Technologies Llc Memory cell with high-k charge trapping layer
US9679910B2 (en) 2015-08-28 2017-06-13 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
KR102413766B1 (ko) 2015-09-08 2022-06-27 삼성전자주식회사 비휘발성 메모리 장치 및 그의 제조 방법
US9812463B2 (en) * 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9741737B1 (en) 2016-04-15 2017-08-22 Micron Technology, Inc. Integrated structures comprising vertical channel material and having conductively-doped semiconductor material directly against lower sidewalls of the channel material
CN109417022B (zh) 2016-06-28 2023-08-11 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US9997348B2 (en) 2016-09-28 2018-06-12 International Business Machines Corporation Wafer stress control and topography compensation
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6978645B2 (ja) 2017-03-08 2021-12-08 長江存儲科技有限責任公司Yangtze Memory Technologies Co., Ltd. 3次元メモリデバイスのスルーアレイコンタクト構造
CN106920796B (zh) 2017-03-08 2019-02-15 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
WO2018195423A1 (en) 2017-04-20 2018-10-25 Micromaterials Llc Structure with selective barrier layer
US10043819B1 (en) 2017-05-17 2018-08-07 Macronix International Co., Ltd. Method for manufacturing 3D NAND memory using gate replacement, and resulting structures
KR102484303B1 (ko) 2017-05-31 2023-01-02 어플라이드 머티어리얼스, 인코포레이티드 3d-nand 디바이스들에서의 워드라인 분리를 위한 방법들
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
KR102505902B1 (ko) 2017-08-04 2023-03-06 마이크로머티어리얼즈 엘엘씨 개선된 금속 콘택 랜딩 구조
US10868033B2 (en) 2017-11-16 2020-12-15 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
FR3079926B1 (fr) 2018-04-05 2020-03-13 Continental Automotive France Procede de calibration automatique d'un capteur d'arbre a cames pour corriger un faux rond de cible
JP7121141B2 (ja) 2018-05-03 2022-08-17 長江存儲科技有限責任公司 3次元メモリデバイスのスルーアレイコンタクト(tac)
CN108922891B (zh) * 2018-07-23 2019-12-10 长江存储科技有限责任公司 三维存储器及其制作方法
US20200051994A1 (en) 2018-08-10 2020-02-13 Applied Materials, Inc. Memory device improvement
SG11202103738WA (en) * 2018-08-14 2021-05-28 Yangtze Memory Technologies Co Ltd Stacked connections in 3d memory and methods of making the same
WO2020073218A1 (en) 2018-10-10 2020-04-16 Applied Materials, Inc. Techniques and apparatus for anisotropic stress compensation in substrates using ion implantation
CN111276486B (zh) 2018-12-07 2021-03-12 长江存储科技有限责任公司 新型3d nand存储器件及其形成方法
KR20210094636A (ko) 2018-12-20 2021-07-29 어플라이드 머티어리얼스, 인코포레이티드 3d nand 애플리케이션들을 위한 메모리 셀 제작
US10790298B2 (en) 2019-01-11 2020-09-29 Applied Materials, Inc. Methods and apparatus for three-dimensional NAND structure fabrication
US10964717B2 (en) 2019-01-21 2021-03-30 Applied Materials, Inc. Methods and apparatus for three-dimensional NAND structure fabrication
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
KR20210141589A (ko) 2019-04-30 2021-11-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 상변화 메모리를 갖는 3차원 메모리 디바이스
KR20210119509A (ko) 2019-04-30 2021-10-05 양쯔 메모리 테크놀로지스 씨오., 엘티디. 임베디드 동적 랜덤 액세스 메모리를 구비한 3차원 메모리 장치
KR102631812B1 (ko) 2019-05-17 2024-01-30 양쯔 메모리 테크놀로지스 씨오., 엘티디. 정적 랜덤 액세스 메모리가 있는 3차원 메모리 디바이스
US10998329B2 (en) 2019-05-23 2021-05-04 Applied Materials, Inc. Methods and apparatus for three dimensional NAND structure fabrication
CN110537259A (zh) 2019-06-28 2019-12-03 长江存储科技有限责任公司 三维存储器件中的存储器内计算
US10985179B2 (en) 2019-08-05 2021-04-20 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias
CN110892482B (zh) 2019-10-12 2021-01-29 长江存储科技有限责任公司 对存储器件进行编程的方法及相关存储器件
CN111771281B (zh) 2020-01-17 2021-07-20 长江存储科技有限责任公司 三维存储器件及其制作方法
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
CN115101526A (zh) 2020-01-28 2022-09-23 长江存储科技有限责任公司 垂直存储器件

Also Published As

Publication number Publication date
JP7443393B2 (ja) 2024-03-05
US11189635B2 (en) 2021-11-30
KR20210130850A (ko) 2021-11-01
WO2020205908A1 (en) 2020-10-08
US20200312874A1 (en) 2020-10-01
JP2022521842A (ja) 2022-04-12

Similar Documents

Publication Publication Date Title
US11189635B2 (en) 3D-NAND mold
TWI693689B (zh) 半導體裝置及其形成方法
JP4159471B2 (ja) 非平坦性の影響を最小限にするトランジスタ金属ゲート構造の製造方法
US11587796B2 (en) 3D-NAND memory cell structure
US11930637B2 (en) Confined charge trap layer
US20220367270A1 (en) Self-aligned contact and contact over active gate structures
US20220367560A1 (en) Poly-silicon based word line for 3d memory
TWI837494B (zh) 用於3d nand之選擇閘極隔離
US20220319601A1 (en) Selection gate separation for 3d nand
US20220059555A1 (en) Selection gate separation for 3d nand
US20230040627A1 (en) Selection gate structure and fabrication method for 3d memory
US20230164993A1 (en) Nand cell structure with charge trap cut
CN117769895A (zh) 用于三维dram的选择性硅化物沉积
CN115472566A (zh) 半导体装置和制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination