CN113287194A - 基板处理系统、用于真空处理系统的基板腔室以及冷却基板的方法 - Google Patents

基板处理系统、用于真空处理系统的基板腔室以及冷却基板的方法 Download PDF

Info

Publication number
CN113287194A
CN113287194A CN201980088736.XA CN201980088736A CN113287194A CN 113287194 A CN113287194 A CN 113287194A CN 201980088736 A CN201980088736 A CN 201980088736A CN 113287194 A CN113287194 A CN 113287194A
Authority
CN
China
Prior art keywords
substrate
chamber
processing system
cooling
rail
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980088736.XA
Other languages
English (en)
Inventor
哈利埃卢拉·谢里夫
布里希·拉贾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113287194A publication Critical patent/CN113287194A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

描述了一种基板处理系统(100)。所述基板处理系统(100)包括一个或多个基板腔室,所述一个或多个基板腔室包括基板运输系统(140)。另外,所述基板处理系统(100)包括布置在所述基板运输系统(140)的第一轨道(141)和第二轨道(142)之间的一个或多个基板冷却装置(150)。另外地,描述了一种用于真空处理系统的基板腔室和一种用于冷却处理基板的方法。

Description

基板处理系统、用于真空处理系统的基板腔室以及冷却基板 的方法
技术领域
本公开内容的实施方式涉及基板处理系统,特别是用于处理以基本上竖直取向的一个或多个基板例如以用于显示器生产的真空处理系统。本公开内容的另外的实施方式涉及用于真空处理系统的基板腔室。更具体地,本公开内容的实施方式涉及具有基板冷却装置的真空处理系统和基板腔室。本公开内容的又一实施方式涉及冷却基板的方法。
背景技术
用于在基板上的层沉积的技术包括例如溅射沉积、热蒸发和化学气相沉积。溅射沉积工艺可用于在基板上沉积材料层,诸如导电材料或绝缘材料的层。涂覆材料可用于若干应用和若干技术领域中。例如,一种应用是在微电子领域中,诸如产生半导体器件。而且,用于显示器的基板通常通过溅射沉积工艺进行涂覆。另外的应用包括绝缘面板、具有TFT的基板、滤色器或类似者。
基板处理系统可包括大气部分(例如清洁腔室)、一个或多个真空腔室和用于将基板从大气部分装载到一个或多个真空腔室的装载锁定腔室。在处理期间,基板通常会暴露于升高的温度。在处理之后,通常冷却经处理的基板。因此,通常基板处理系统的各个腔室中的温度各不相同。在升高和/或变化的温度下处理薄基板、特别是薄大面积基板是有挑战性的。在常规的处理系统中,通常通过将冷却气体引入基板腔室中来提供冷却。然而,冷却气体冷却系统在冷却效率、操作和维护成本方面存在一些缺点。例如,通常使用的冷却气体(例如氦气)非常昂贵。另外,为了有效冷却,需要使用大量冷却气体。
因此,对于对至少部分地克服现有技术的一些问题(例如关于冷却效率和拥有成本的问题)的改进的基板冷却系统有持续的需求。
发明内容
鉴于上述,提供了根据独立权利要求的一种基板处理系统、一种用于真空处理系统的基板腔室以及一种冷却基板的方法。另外的方面、优点和特征从从属权利要求、说明书和附图中显而易见。
根据本公开内容的一方面,提供了一种基板处理系统。所述基板处理系统包括一个或多个基板腔室,所述一个或多个基板腔室包括基板运输系统。另外,所述基板处理系统包括一个或多个基板冷却装置,所述一个或多个基板冷却装置布置在所述基板运输系统的第一轨道和第二轨道之间。
根据本公开内容的另一方面,提供了一种基板处理系统。所述基板处理系统包括装载锁定腔室、连接到所述装载锁定腔室的预热腔室和运输系统。所述运输系统具有用于运输未处理的基板的第一轨道。另外地,所述运输系统具有用于运输经处理的基板的第二轨道。所述运输系统设置在所述装载锁定腔室和所述预热腔室中。所述装载锁定腔室和所述预热腔室中的至少一者包括用于冷却所述经处理基板的一个或多个基板冷却装置。
根据本公开内容的另一方面,提供了一种用于真空处理系统的基板腔室。所述基板腔室包括具有第一运输轨道和第二运输轨道的基板运输系统。另外,所述基板腔室包括布置在所述第一运输轨道与所述第二运输轨道之间的冷却装置。
根据本公开内容的另一方面,提供了一种冷却基板的方法。所述方法包括通过使用布置在基板运输系统的第一轨道和第二轨道之间的一个或多个基板冷却装置来提供一个或多个基板腔室中的所述基板的冷却。
根据本公开内容的另一方面,提供了一种制造经涂覆基板、特别是用于制造电子装置的经涂覆基板的方法。所述方法包括使用根据本文描述的任何实施方式的基板处理系统和根据本文描述的任何实施方式的基板腔室中的至少一者。
实施方式还涉及用于施行所公开的方法的设备并包括用于执行所描述的方面的设备部分。这些方法方面可通过硬件部件、由适当的软件编程的计算机、这两者的任何组合或以任何其他方式执行。另外,根据本公开内容的实施方式还涉及用于操作所描述的设备的方法。用于操作所描述的设备的方法包括用于施行所述设备的每个功能的方法方面。
附图说明
为了可详细理解本公开内容的上述特征的方式,可参考实施方式来获得以上简要地概述的本公开内容的更具体的描述。附图涉及本公开内容的实施方式并描述如下:
图1示出了根据本文描述的实施方式的基板处理系统的示意性截面俯视图;
图2至图4示出了根据本文描述的另外的实施方式的基板处理系统的示意性截面俯视图;
图5A示出了根据本文描述的实施方式的基板处理系统的第一腔室的示意性透视图;
图5B示出了根据本文描述的实施方式的基板处理系统的第二腔室的示意性透视图;
图6A示出了根据本文描述的实施方式的用于真空处理系统的基板腔室的示意性截面俯视图;
图6B示出了根据本文描述的实施方式的用于真空处理系统的基板腔室的示意性透视图;并且
图7示出了用于示出根据本文描述的实施方式的冷却经处理基板的方法的流程图。
具体实施方式
现在将详细地参考本公开内容的各种实施方式,这些实施方式的一个或多个示例被示出于附图。在以下对附图的描述中,相同的附图标记指代相同的部件。仅描述了相对于单独的实施方式的差异。每个示例以解释本公开内容的方式提供,而不意在作为本公开内容的限制。另外,被示出为或描述为一个实施方式的部分的特征可用于其他实施方式上或结合其他实施方式使用,以产生另外的实施方式。本说明书意图包括这样的修改和变化。
示例性地参考图1,描述了根据本公开内容的基板处理系统100。根据可与本文描述的其他实施方式组合的实施方式,基板处理系统100包括一个或多个基板腔室105,该一个或多个基板腔室105包括基板运输系统140。另外,基板处理系统100包括布置在基板运输系统140的第一轨道141和第二轨道142之间的一个或多个基板冷却装置150。通常,一个或多个基板冷却装置150具有被定向为面向要冷却的基板10的冷却表面154。特别地,冷却表面154的面积ACS至少基本上对应于要冷却的基板10的基板面积AS。换句话说,冷却表面154的面积ACS可为0.8×AS≤ACS≤1.2×AS,特别是0.9×AS≤ACS≤1.1×AS
因此,与现有技术相比,可提供改进的基板处理系统。特别地,通过提供如本文所述的具有一个或多个基板冷却装置的处理系统,可以以更低的成本提供更有效的基板冷却。另外,在第一基板运输轨道与第二基板运输轨道之间提供基板冷却装置的优点在于,冷却装置还可用作辐射壁,例如当第一轨道上的未处理的基板被加热而第二轨道上的经处理的基板被冷却时。因此,通过利用本文描述的基板处理系统,可避免额外的冷却腔室。因此,如本文所述的实施方式提供更有效的基板冷却,同时实现具有小占地面积的紧凑处理系统布局,使得可实现短基板处理节拍时间。另外,应当注意,基板冷却提供了改进的基板处理,特别是在基板处理之后,当基板离开处理系统时。例如,通过本文描述的实施方式,可避免用于拾取经处理的基板的机器人叉的滑动,从而可基本上消除基板损坏或破损。
如图1示例性地示出,第一轨道141和第二轨道142通常在基板运输方向11上延伸。另外,在图1中,示出了侧向方向12和竖直方向13。因此,应当理解,基板运输方向11和侧向方向12限定了水平平面。通常,一个或多个基板冷却装置150被配置为在竖直方向13上延伸的壁。另外,一个或多个基板冷却装置150通常在基板运输方向11上延伸超过基板腔室长度L的至少一半。
如图1示例性地示出,一个或多个基板冷却装置150可设置在与第一轨道141相距第一侧向距离D1处并与第二轨道142相距第二侧向距离D2处。例如,第一侧向距离D1和第二侧向距离D2可基本上相等。因此,一个或多个基板冷却装置150可基本上布置在第一轨道141与第二轨道142之间的中间处。
在更详细地描述本公开内容的各种另外的实施方式之前,解释与本文使用的一些术语有关的一些方面。
在本公开内容中,“基板处理系统”可理解为被配置用于基板处理、特别是用于在基板上的材料沉积的系统。例如,基板处理系统可以是具有彼此连接的多个腔室的直列式(in-line)处理系统。
特别地,直列式处理系统可被理解为两个或更多个真空腔室布置在一条线上的布置。另外,直列式处理系统可针对竖直基板上的一个或多个层在位置而进行配置。因此,基板处理系统可以是竖直基板处理系统,即,被配置用于处理基本上竖直的基板取向的基板。例如,可在静态沉积工艺或动态沉积工艺中沉积一个或多个层。沉积工艺可以是PVD工艺(例如溅射工艺)或CVD工艺。再进一步,应当注意,通常基板处理系统是具有被配置为用于提供真空条件的一个或多个腔室的真空处理系统。
在本公开内容中,“基板”可理解为用于材料沉积、特别是一个或多个层的沉积的基板,例如用于显示器制造。例如,本文描述的基板应涵盖典型地用于LCD(液晶显示器)、PDP(等离子体显示面板)等的基板。通常,基板由载体保持或支撑。因此,载体可装载有基板。例如,基板可存在于载体的基板接收区域中。
如本文所用的术语“基板”应当特别地涵盖非柔性基板,例如,玻璃板和金属板。然而,本公开内容不限于此,并且术语“基板”还可涵盖柔性基板,诸如卷材或箔。根据一些实施方式,基板可由适于材料沉积的任何材料制成。例如,基板可由从由以下项组成的群组中选择的材料制成:玻璃(例如,钙钠玻璃、硼硅玻璃等)、金属、聚合物、陶瓷、化合物材料、碳纤维材料或可由沉积工艺涂覆的任何其他材料或材料组合。例如,基板可具有0.1mm至1.8mm的厚度,诸如0.7mm、0.5mm或0.3mm的厚度。在一些实践方式中,基板的厚度T可以是5μm≤T≤700μm。
根据可与本文描述的其他实施方式组合的实施方式,基板是大面积基板。例如,大面积基板可具有至少0.01m2、具体是至少0.1m2并且更具体是至少0.5m2的尺寸。例如,大面积基板可以是第4.5代(其对应于约0.67m2基板(0.73m×0.92m))、第5代(其对应于约1.4m2基板(1.1m×1.3m))、第7.5代(其对应于约4.29m2基板(1.95m×2.2m))、第8.5代(其对应于约5.7m2基板(2.2m×2.5m))或甚至第10代(其对应于约8.7m2基板(2.85m×3.05m))。可类似地实施甚至更高的世代(诸如第11代和第12代)和对应的基板面积。
在本公开内容中,“基板腔室”可理解为被配置为用于接收如本文所述的基板的腔室。特别地,如本文所述的“基板腔室”被配置为用于接收一个或多个基本上竖直地布置的基板。另外,如本文所述的“基板腔室”通常被配置为用于在基板腔室的内部提供真空条件。
在本公开内容中,“基板运输系统”可被理解为被配置为用于在基板处理系统内运输一个或多个基板的系统。特别地,基板运输系统通常被配置为用于运输由基板载体保持或支撑的基板。如参考图4示例性地描述,基板运输系统通常包括一个或多个路径,例如第一轨道和第二轨道,以用于在基板处理系统内运输基板。特别地,第一轨道可以是被配置为用于运输未处理的基板的轨道,例如从基板处理系统的入口朝向基板处理腔室运输。第二轨道可以是被配置为用于运输经处理的基板的轨道,例如从基板处理腔室朝向基板处理系统的出口运输。第一轨道和第二轨道也可分别称为基板运输轨道。“轨道”可理解为引导结构,例如导轨,以用于沿着基板运输方向引导基板。因此,“轨道”可理解为机械结构。另外,应当注意,基板运输系统可以是(例如基于磁悬浮的)非接触式运输系统。
例如,如参考图4更详细地描述的,可提供第一轨道以用于将未处理的基板运输到处理模块(也称为基板处理腔室)。可提供第二轨道以用于将经处理的基板从处理模块朝向大气模块运输。通常,第二轨道相对于第一轨道侧向地位移。例如,第一轨道和第二轨道可基本上平行于彼此。因此,应当理解,基板运输系统可被配置为用于将一个或多个基板从大气模块通过一个或多个传送模块运输到一个或多个处理模块,反之亦然。
在本公开内容中,“基板冷却装置”可被理解为被配置为用于提供基板冷却的装置。特别地,通常基板冷却装置被布置和配置为用于从基板移除热量。换句话说,基板冷却装置可以是能够从基板吸走热能的装置。因此,应当理解,通过提供如本文所述的基板冷却装置,实现了从基板到基板冷却装置的热通量,使得可冷却基板。特别地,与将冷却气体引入基板腔室的常规冷却系统相比,本文描述的基板冷却装置是设置在如本文所述的一个或多个基板腔室的内部的硬件装置。
示例性地参考图2,根据可与本文描述的其他实施方式组合的实施方式,一个或多个基板腔室105包括连接到第二腔室102的第一腔室101。第一腔室101和第二腔室102可经由阀(例如闸阀115)连接。在本公开内容中,“闸阀”可理解为允许对相邻真空腔室的真空密封的口。特别地,如图3示例性地示出,一个或多个基板冷却装置150包括设置在第二腔室102中的主动冷却装置155。
在本公开内容中,“主动冷却装置”可理解为例如通过采用冷却液(诸如水)来进行主动冷却的装置。例如,主动冷却装置可包括一个或多个管,冷却流体可通过管泵送以提供冷却。特别地,管可以是曲折形状,这可有益于提高冷却效率。通常,管连接到冷却液的贮存器。因此,应当理解,主动冷却装置可包括闭环冷却回路。
如图3示意性地示出,根据可与本文描述的其他实施方式组合的实施方式,一个或多个基板冷却装置150可包括设置在第一腔室101中的被动冷却装置151。在本公开内容中,“被动冷却装置”可被理解为被配置为用于在没有额外的主动部件或元件(诸如具有冷却液体的管)的情况下吸走热量的装置。特别地,如参考图5更详细地描述,被动冷却装置151通常包括辐射吸收表面153,其例如由深色或黑色表面提供。特别地,辐射吸收表面可由涂层、层或箔提供。根据一个示例,辐射吸收表面153可由一个或多个贴纸提供。
因此,应当理解,通过提供主动冷却装置与被动冷却装置的组合,有益地实现了对要冷却的基板的有效冷却。
根据可与本文描述的其他实施方式组合的实施方式,第一腔室101为装载锁定腔室110(本文也称为装载锁定模块或预真空模块),并且第二腔室102为预热腔室121。因此,第二腔室102可包括用于预热未处理基板的加热装置160,如图4示例性地示出。
因此,有益地,第一腔室101和第二腔室102具有双重功能。特别地,当未处理的基板进入处理系统时,第一腔室101用作预真空模块。在处理之后,第一腔室101提供第二冷却。第二腔室102提供未处理的基板的预热以及在处理之后的经处理的基板的第一冷却。
图4示出了根据可与本文描述的其他实施方式组合的本文描述的实施方式的基板处理系统的更详细的布局。如图4所示,基板处理系统100可包括模块。模块可以是或包括腔室。基板处理系统可包括一个或多个大气模块170。另外,通常设置有机器人基板拾取站171。大气模块可包括摆动模块172。通常,摆动模块172被配置为用于将一个或多个基板从水平位置带到基本上竖直的位置。此外,处理系统可包括一个或多个装载锁定模块174。装载锁定模块在本文中也可称为“预真空模块”。通常,如本文所述的第一腔室101是装载锁定模块。
另外,处理系统可包括一个或多个传送模块180。一个或多个传送模块180可包括一个或多个高真空模块184。通常,如本文所述的第二腔室102是高真空模块184。另外,如图4示例性地示出,基板处理系统100通常包括一个或多个处理模块190。
应当理解,一个或多个装载锁定模块174、一个或多个传送模块180和一个或多个处理模块190通常被配置为用于在相应模块中提供真空条件。因此,真空条件可应用于一个或多个处理模块190和/或传送模块180和/或装载锁定模块174。
如本文所使用的真空条件包括低于10-1毫巴或低于10-3毫巴,诸如10-7毫巴至10-2毫巴的压力条件。例如,装载锁定模块中的真空条件可在大气压力条件和次大气压力条件(例如在10-1毫巴或更低的范围内)之间切换。为了将基板运输到高真空模块中,可将基板插入处于大气压力下的装载锁定模块中,可将装载锁定模块密封,并且随后可将装载锁定模块设定在低于10-1毫巴的范围内的次大气压力下。随后,可打开装载锁定腔室与高真空模块之间的开口,并且可将基板插入高真空模块中以将基板运输到处理模块中。
另外,处理模块中的真空条件可包括等于或低于10-2毫巴的工艺压力条件,诸如10-3毫巴至10-4毫巴。处理模块中的基本压力条件可在10-7毫巴至10-6毫巴的范围内,特别是在10-7毫巴至5×10-6毫巴的范围内。可通过使用真空泵或其他真空产生技术来施加真空条件。
如图4示例性地示出,根据可与本文描述的其他实施方式组合的实施方式,大气模块170可连接到一个或多个传送模块180。特别地,装载锁定模块174可连接大气模块和一个或多个高真空模块184。一个或多个高真空模块184通常连接到一个或多个处理模块190。装载锁定模块或腔室可有助于均衡在模块之间的压力差。例如,在一个模块中施加大气压力,并且真空被施加在经由装载锁定模块连接到这一个模块的模块中。
因此,应当理解,预真空模块可布置在大气模块与一个或多个高真空模块之间。大气模块可包括大气条件。例如,装载模块中的气压可包括大气气压。因此,颗粒,如O2、H2O和N2,可存在于大气模块中或通常在真空腔室中的一个之外。与大气模块相比,预真空模块可包括不同压力条件。例如,预真空腔室包括较低压力条件。预真空腔室中的压力可低于10-1毫巴。预真空腔室可连接到一个或多个高真空模块。与大气模块和/或预真空模块相比,一个或多个处理模块190可包括不同的压力条件。
示例性地参考图4,根据可与本文描述的其他实施方式组合的实施方式,一个或多个处理模块190或腔室包含一个或多个沉积源195。如果存在多于一个的沉积源,则沉积源可成行地布置。例如,沉积源可彼此相邻地布置。沉积源可在长度上竖直地延伸。如箭头191示例性地指示,通常轨道切换装置设置在一个或多个处理模块190中。轨道切换装置被配置为用于将基板从第一轨道141转移到第二轨道142。
根据实施方式,一个或多个沉积源可可旋转地固定到处理模块的底侧。特别地,在一个或多个处理腔室中可存在二至十个沉积源。更具体地,在一个或多个处理腔室中可存在三至七个沉积源。
基板的处理可理解为将材料转移到基板。例如,沉积材料可例如通过CVD工艺或PVD工艺(诸如溅射或蒸发)沉积在基板上。基板可包括沉积材料接收侧。基板的沉积材料接收侧可被认为是基板的面对沉积源的一侧。另外,基板的处理还可包括将基板从处理系统的一个模块运输到另一模块,特别是通过使用如本文所述的基板运输系统来运输。
如图4示例性地示出,根据可与本文描述的其他实施方式组合的实施方式,基板处理系统可包括用于加热要处理的基板的加热装置160。例如,一个或多个传送模块180可包括加热装置160。特别地,第二腔室102可包括用于预热未处理的基板的加热装置160。如图4所示,通常加热装置160布置在第一轨道141与第二腔室102的外壁102W之间。尽管未明确地示出,但是另外地或替代地,第一腔室101可包括加热装置。因此,也可在预真空腔室中进行加热。例如,预真空腔室中的加热可被静态地执行。静态加热应理解为(例如在腔室壁处)静止的加热布置。也可理解为附接到腔室的壁的静止的加热布置。静态加热可包括基板停在加热装置前面。
在预真空腔室和/或高真空模块中加热基板的优点是可在基板处理开始时去除颗粒。因此,更有效地防止颗粒散布到后续腔室。另外,促进了基板和/或基板载体的脱气。因此,可实现改进的工艺稳定性和性能。
另外,示例性地参考图4,应当理解,基板处理系统100通常包括基板运输系统140,以用于运输一个或多个基板通过处理系统。特别地,基板运输系统140可包括延伸穿过处理系统的运输路径,例如第一轨道141和第二轨道142。例如,一个或多个基板可从大气模块传输到一个或多个处理模块,反之亦然。换句话说,一个或多个基板可循环通过基板处理系统,特别是在大气模块和一个或多个处理模块之间循环。因此,应当理解,第一轨道141用于运输未处理的基板,并且第二轨道142用于运输经处理的基板。基板运输方向由图4中的箭头15示例性地指示。
通常,一个或多个基板在由载体支撑的同时被运输。例如,在沉积工艺期间和/或在运输期间,一个或多个基板可在基本上竖直的位置。如在本公开内容的全文中使用的,“基本上竖直”特别地是在提到基板取向时被理解为允许与竖直方向或取向存在±20°或更小(例如,±10°或更小)的偏差。可提供该偏差例如是因为与竖直取向有某种偏差的基板支撑件或载体可能会带来更稳定的基板位置。另外,面朝下的基板取向可有益于减少基板上的颗粒,特别是在材料沉积期间。
示例性地参考图5A,示出了根据可与本文描述的其他实施方式结合的实施方式的第一腔室101的示意性透视图,被动冷却装置151可由被定向为面向要运输经过被动冷却装置151的基板(特别是经处理的基板)的辐射吸收表面153提供。因此,通常辐射吸收表面153被定向为朝向第二轨道142。根据实施方式,辐射吸收表面153的面积ARAS至少基本上对应于要冷却的基板的基板面积AS。换句话说,辐射吸收表面153的面积ARAS可以是0.8×AS≤ARAS≤1.2×AS,特别是0.9×AS≤ARAS≤1.1×AS
特别地,辐射吸收表面153可由布置在第一轨道141与第二轨道142之间的壁提供。例如,壁可以是体积减小器的壁。“体积减小器”可理解为布置在基板腔室内以用于减小基板腔室内的自由空间的元件。提供体积减小器对于减少在基板腔室内提供真空或预真空条件的时间是有益的。
更具体地,辐射吸收表面153可以是深色或黑色涂覆表面。例如,通常辐射吸收表面具有ε≥0.7的辐射系数ε。特别地,辐射吸收表面可具有ε≥0.8的辐射系数ε,更特别是ε≥0.9的辐射系数ε,甚至更特别是ε≥0.95的辐射系数ε。例如,辐射吸收表面可由深色涂层、特别是深色油漆层(诸如黑色或蓝色油漆)提供。
因此,通过提供如本文所述的具有辐射吸收表面153的被动冷却装置151,可有益地提供从基板到被动冷却装置151的增加的热通量。
在本公开内容中,与来自辐射系数ε=1的理想“黑体”的热辐射相比,辐射系数ε表示根据斯特藩-玻尔兹曼定律的来自‘灰体’的热辐射。辐射系数ε取决于材料,并且可随温度T和发射的辐射的波长而变化。出于许多目的,足够的是,假设暗黑色表面的发射率为约1;假设诸如涂漆金属等表面的辐射系数ε为约ε≥0.9;假设粗糙未抛光金属表面的辐射系数ε通常从ε=0.7至ε=0.25变化;假设经抛光金属表面的辐射系数ε通常低于0.05,即ε≤0.05。这些值在约300K的低温和中等温度下适用。例如,抛光的铜的辐射系数可以是从ε=0.02至ε=0.05。又如,涂漆或着色表面的辐射系数高于ε=0.7,即ε≥0.7。通常,涂漆或着色表面的辐射系数甚至高于ε=0.9,即ε≥0.9。
示例性地参考示出根据可与本文描述的其他实施方式组合的实施方式的第二腔室102的示意性透视图的图5B,主动冷却装置155可包括一个或多个主动冷却元件156。通常,主动冷却装置155设置在布置于第一轨道141与第二轨道142之间的壁处或内。主动冷却装置的壁也可称为“辐射屏蔽件”或“辐射壁”,因为主动冷却装置的壁通常被布置和配置为用于屏蔽来自加热装置160的热量,如参考图4示例性描述。
如图5B示例性地示出,一个或多个主动冷却元件156可包括一个或多个管157,冷却流体可通过一个或多个管157泵送以提供冷却。特别地,管157可以是有益于提高冷却效率的曲折形状。通常,管连接到冷却液的贮存器。
根据可与本文描述的其他实施方式组合的示例,基板处理系统100包括装载锁定腔室110、连接至装载锁定腔室110的预热腔室121和基板运输系统140。基板运输系统140包括用于运输未处理的基板的第一轨道141。另外地,基板运输系统140包括用于运输经处理的基板的第二轨道142。基板运输系统设置在装载锁定腔室110和预热腔室121中。装载锁定腔室110和预热腔室121中的至少一者包括用于冷却经处理的基板的一个或多个基板冷却装置150。特别地,一个或多个基板冷却装置150包括从由主动冷却装置和被动冷却装置组成的群组中选择的至少一个装置。通常,一个或多个基板冷却装置150布置在第一轨道141与第二轨道142之间。具体地,装载锁定腔室包括布置在第一轨道141与第二轨道142之间的被动冷却装置151,而预热腔室121包括布置在第一轨道141与第二轨道142之间的主动冷却装置155。
示例性地参考图6A和图6B,描述了根据本公开内容的用于真空处理系统的基板腔室130。根据可与本文描述的其他实施方式组合的实施方式,基板腔室130包括具有第一轨道141和第二轨道142的基板运输系统140。另外,基板腔室130包括布置在第一轨道141与第二轨道142之间的基板冷却装置150。
根据可与本文描述的其他实施方式组合的实施方式,基板冷却装置可包括主动冷却装置和/或被动冷却装置。例如,被动冷却装置151可由被定向为面向经处理的基板的辐射吸收表面153提供。主动冷却装置可由设置在布置于第一轨道141与第二轨道142之间的壁处或内的一个或多个主动冷却元件提供。因此,应当理解,基板腔室130可以是如本文所述的第一腔室101或第二腔室102。
示例性地参考图7所示的流程图,描述了根据本公开内容的冷却基板的方法200的实施方式。根据可与本文描述的其他实施方式组合的实施方式,该方法包括通过使用布置在基板运输系统140的第一轨道141和第二轨道142之间的一个或多个基板冷却装置150来提供一个或多个基板腔室130中的基板的冷却。特别地,一个或多个基板腔室可包括第一腔室101和连接到第一腔室101的第二腔室102。一个或多个基板冷却装置150通常包括主动冷却装置和被动冷却装置中的至少一者。
更具体地,根据可与本文描述的其他实施方式组合的实施方式,提供基板冷却包括在第二腔室102中提供基板的第一冷却(由图7中的框210表示)。通常,当将经处理的基板移动经过主动冷却装置155时,可提供第一冷却。或者,第一冷却可以是静态冷却,即,基板停在主动冷却装置155前面。
如参考图4示例性描述,第二腔室102可以是高真空模块184。在一侧上,第二腔室102可连接到第一腔室101,例如预真空模块,并且在相对侧上,第二腔室102可例如经由一个或多个传送模块180或直接地连接到一个或多个处理模块190。
另外地,该方法可包括从第二腔室102向连接到第二腔室102的第一腔室101运输基板(由图7中的框220表示)。另外,该方法可包括在第一腔室101中提供对基板的第二冷却(由图7中的框230表示)。
通常,当将经处理的基板移动经过被动冷却装置151时,可提供第二冷却。或者,第二冷却可以是静态冷却,即,基板停在被动冷却装置151前面。
根据可与本文描述的其他实施方式组合的冷却基板的方法的实施方式,主动冷却装置155设置在第二腔室102中设置的第一轨道141和第二轨道142之间。另外,通常被动冷却装置151设置在第一腔室101中设置的第一轨道141和第二轨道142之间。例如,被动冷却装置151可由被取向为面向基板的辐射吸收表面153提供。通常,要冷却的基板是经处理的基板,即,从一个或多个处理模块运输到大气模块170的基板。
鉴于本公开内容的实施方式,应当理解,与现有技术相比,提供了改进的基板处理系统、改进的基板腔室和改进的冷却基板的方法。特别地,本公开内容的实施方式以更低的操作和维护成本提供改进的冷却效率。另外,通过采用如本文所述的实施方式来冷却基板,可改进基板处理(例如通过在基板拾取站处的机器人),从而可避免基板损坏或破损。
本书面描述使用示例来公开公开内容,包括最佳模式,并且还使本领域任何技术人员能够实践所描述的主题,包括制造和使用任何设备或系统并执行任何所涵盖的方法。尽管以上所述已经公开各种具体实施方式,但是以上描述的实施方式的不互斥的特征可彼此组合。专利保护范围由权利要求书界定,并且如果其他示例具有不与权利要求的字面语言相异的结构要素,或如果其他示例包括与权利要求的字面语言无实质差异的等效结构要素,则这些其他示例意图落入权利要求书的范围内。
尽管前述内容针对的是实施方式,但在不脱离基本范围的情况下,可设想其他和进一步实施方式,并且范围由所附权利要求书的范围确定。

Claims (16)

1.一种基板处理系统(100),包括
-一个或多个基板腔室(105),所述一个或多个基板腔室包括基板运输系统(140),以及
-一个或多个基板冷却装置(150),所述一个或多个基板冷却装置布置在所述基板运输系统(140)的第一轨道(141)和第二轨道(142)之间。
2.根据权利要求1所述的基板处理系统(100),其中所述一个或多个基板腔室(105)包括连接到第二腔室(102)的第一腔室(101),并且所述一个或多个基板冷却装置(150)包括设置在所述第二腔室(102)中的主动冷却装置(155)。
3.根据权利要求2所述的基板处理系统(100),所述一个或多个基板冷却装置(150)包括设置在所述第一腔室(101)中的被动冷却装置(151)。
4.根据权利要求2或3所述的基板处理系统(100),所述第一腔室(101)是装载锁定腔室(110),并且所述第二腔室(102)是预热腔室(121)。
5.根据权利要求1至4中任一项所述的基板处理系统(100),所述第一轨道(141)用于运输未处理的基板,并且所述第二轨道(142)用于运输经处理的基板。
6.根据权利要求2至5中任一项所述的基板处理系统(100),所述主动冷却装置(155)包括设置在布置于所述第一轨道(141)与所述第二轨道(142)之间的壁处的一个或多个主动冷却元件。
7.根据权利要求3至6中任一项所述的基板处理系统(100),所述被动冷却装置(151)由被定向为面向所述经处理的基板的辐射吸收表面(153)提供。
8.根据权利要求7所述的基板处理系统(100),所述辐射吸收表面所具有的辐射系数ε为ε≥0.7。
9.根据权利要求2至8中任一项所述的基板处理系统(100),所述第二腔室(102)包括用于预热未处理的基板的加热装置(160)。
10.一种基板处理系统(100),包括
-装载锁定腔室(110);
-预热腔室(121),所述预热腔室连接到所述装载锁定腔室(110);以及
-基板运输系统(140),所述基板运输系统具有用于运输未处理的基板的第一轨道(141)和用于运输经处理的基板的第二轨道(142),所述基板运输系统(140)设置在所述装载锁定腔室(110)和所述预热腔室(121)中,
其中所述装载锁定腔室(110)和所述预热腔室(121)中的至少一者包括用于冷却所述经处理的基板的一个或多个基板冷却装置(150)。
11.根据权利要求10所述的基板处理系统(100),所述一个或多个基板冷却装置(150)包括从由主动冷却装置和被动冷却装置组成的群组选择中的至少一个装置,特别地,所述一个或多个基板冷却装置布置在所述第一轨道(141)与所述第二轨道(142)之间。
12.一种用于真空处理系统的基板腔室(130),所述基板腔室包括具有第一轨道(141)和第二轨道(142)的基板运输系统(140)和布置在所述第一轨道(141)与所述第二轨道(142)之间的基板冷却装置(150)。
13.根据权利要求12所述的基板腔室(130),所述基板冷却装置(150)包括主动冷却装置和/或被动冷却装置,特别地,所述被动冷却装置由被取向为面向经处理的基板的辐射吸收表面(153)提供。
14.一种冷却基板的方法,包括:
-通过使用布置在基板运输系统(140)的第一轨道(141)和第二轨道(142)之间的一个或多个基板冷却装置(150)来提供一个或多个基板腔室(130)中的所述基板的冷却。
15.根据权利要求14所述的方法,其中所述一个或多个基板腔室(130)包括第一腔室(101)和连接到所述第一腔室(101)的第二腔室(102),并且其中所述一个或多个基板冷却装置(150)包括主动冷却装置和被动冷却装置中的至少一者。
16.一种制造经涂覆的基板、特别是用于电子装置的经涂覆的基板的方法,包括使用根据权利要求1至11中任一项所述的基板处理系统(100)和根据权利要求12至13中任一项所述的基板腔室(130)中的至少一者。
CN201980088736.XA 2019-01-16 2019-01-16 基板处理系统、用于真空处理系统的基板腔室以及冷却基板的方法 Pending CN113287194A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2019/013739 WO2020149837A1 (en) 2019-01-16 2019-01-16 Substrate processing system, substrate chamber for a vacuum processing system, and method of cooling a substrate

Publications (1)

Publication Number Publication Date
CN113287194A true CN113287194A (zh) 2021-08-20

Family

ID=71614256

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980088736.XA Pending CN113287194A (zh) 2019-01-16 2019-01-16 基板处理系统、用于真空处理系统的基板腔室以及冷却基板的方法

Country Status (3)

Country Link
KR (1) KR102654241B1 (zh)
CN (1) CN113287194A (zh)
WO (1) WO2020149837A1 (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406346B (en) * 1996-08-26 2000-09-21 Applied Materials Inc Method and apparatus for cooling a workpiece using an electrostatic chuck
US20090016855A1 (en) * 2007-05-18 2009-01-15 Brooks Automation, Inc. Load lock fast pump vent
CN101882565A (zh) * 2010-06-03 2010-11-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种在线处理设备
KR20110131835A (ko) * 2010-05-31 2011-12-07 주식회사 밀레니엄투자 선형 기판이송장치를 갖는 기판처리시스템
US20150270118A1 (en) * 2014-03-21 2015-09-24 Samsung Display Co., Ltd. Oxide semiconductor depositing apparatus and method of manufacturing oxide semiconductor using the same
CN106165081A (zh) * 2014-04-02 2016-11-23 应用材料公司 基板处理系统、用于基板处理系统的真空旋转模块以及用于操作基板处理系统的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001176946A (ja) * 1999-12-15 2001-06-29 Kanegafuchi Chem Ind Co Ltd 基板の搬送装置
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
KR100921523B1 (ko) * 2008-05-30 2009-10-12 세메스 주식회사 평판 디스플레이 제조에 사용되는 기판 처리 장치 및 방법
JP4954162B2 (ja) * 2008-08-29 2012-06-13 東京エレクトロン株式会社 処理システム
JP4756076B2 (ja) * 2009-02-24 2011-08-24 東京エレクトロン株式会社 基板処理システム
JP4761326B2 (ja) * 2010-01-15 2011-08-31 シャープ株式会社 薄膜形成装置システムおよび薄膜形成方法
JP2012119626A (ja) * 2010-12-03 2012-06-21 Tokyo Electron Ltd ロードロック装置
JP2013168448A (ja) * 2012-02-14 2013-08-29 Sumitomo Heavy Ind Ltd 冷却チャンバー

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406346B (en) * 1996-08-26 2000-09-21 Applied Materials Inc Method and apparatus for cooling a workpiece using an electrostatic chuck
US20090016855A1 (en) * 2007-05-18 2009-01-15 Brooks Automation, Inc. Load lock fast pump vent
KR20110131835A (ko) * 2010-05-31 2011-12-07 주식회사 밀레니엄투자 선형 기판이송장치를 갖는 기판처리시스템
CN101882565A (zh) * 2010-06-03 2010-11-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种在线处理设备
US20150270118A1 (en) * 2014-03-21 2015-09-24 Samsung Display Co., Ltd. Oxide semiconductor depositing apparatus and method of manufacturing oxide semiconductor using the same
CN106165081A (zh) * 2014-04-02 2016-11-23 应用材料公司 基板处理系统、用于基板处理系统的真空旋转模块以及用于操作基板处理系统的方法

Also Published As

Publication number Publication date
KR102654241B1 (ko) 2024-04-02
KR20210104920A (ko) 2021-08-25
WO2020149837A1 (en) 2020-07-23

Similar Documents

Publication Publication Date Title
JP6741594B2 (ja) キャリアによって支持された基板上に一又は複数の層を堆積させるためのシステム、及び当該システムを使用する方法
KR101927925B1 (ko) 유기 재료를 위한 증발 소스, 유기 재료를 위한 증발 소스를 갖는 진공 챔버에서 유기 재료를 증착하기 위한 증착 장치, 및 유기 재료를 증발시키기 위한 방법
KR101997808B1 (ko) 유기 재료를 위한 증발 소스
KR101983213B1 (ko) 유기 재료를 위한 증발 소스
TWI651425B (zh) 用於蒸發源材料的沉積源組件、用於將經蒸發源材料沉積在基板上的沉積裝置及將經蒸發源材料沉積在二或更多個基板上的方法
TW201840033A (zh) 真空系統及用以沈積數個材料於一基板上之方法
JP2007031821A (ja) 真空処理装置
CN102686764A (zh) 成膜装置以及成膜方法
KR20180100563A (ko) 나란히 있는 기판들을 갖는 연속적인 증발을 위한 장치 및 방법
KR102654241B1 (ko) 기판 프로세싱 시스템, 진공 프로세싱 시스템을 위한 기판 챔버, 및 기판을 냉각하는 방법
JP2008038224A (ja) 成膜装置、成膜システムおよび成膜方法
TW201946312A (zh) 真空處理系統及操作一真空處理系統之方法
WO2019228627A1 (en) Apparatus for heat treatment, substrate processing system and method for processing a substrate
JP2017214654A (ja) 有機材料用の蒸発源、有機材料用の蒸発源を有する装置、有機材料用の蒸発源を含む蒸発堆積装置を有するシステム、及び有機材料用の蒸発源を操作するための方法
US20170047867A1 (en) Electrostatic chuck with electrostatic fluid seal for containing backside gas
JP2021080560A (ja) 成膜装置、これを用いた成膜方法及び電子デバイスの製造方法
WO2018171908A1 (en) Apparatus for loading a substrate in a vacuum processing system, system for processing a substrate, and method for loading a substrate
CN114258584A (zh) 路径切换组件、具有该路径切换组件的腔室和基板处理系统及其方法
WO2019228610A1 (en) Holder, carrier comprising at least two holders, apparatuses and methods

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination