CN112563109A - 基板处理装置、以及半导体器件的制造方法 - Google Patents

基板处理装置、以及半导体器件的制造方法 Download PDF

Info

Publication number
CN112563109A
CN112563109A CN202010874983.7A CN202010874983A CN112563109A CN 112563109 A CN112563109 A CN 112563109A CN 202010874983 A CN202010874983 A CN 202010874983A CN 112563109 A CN112563109 A CN 112563109A
Authority
CN
China
Prior art keywords
gas
processing apparatus
buffer chamber
pair
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010874983.7A
Other languages
English (en)
Inventor
石丸信雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN112563109A publication Critical patent/CN112563109A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种基板处理装置,其能够减小在利用等离子体来处理基板时对反应管和基板付与的损害,并能够进行稳定的等离子体生成。提供一种如下构成的基板处理装置,其具有:供向基板供给之前的气体流通的缓冲室;在缓冲室内大致平行地延伸的至少一对放电电极;和以不使一对放电电极暴露于气体的方式分别覆盖于至少一对放电电极的绝缘体制的一对鞘管,在一对放电电极的至少一方,在与被馈电的端不同的端处设有金属制的帽,该帽具有与放电电极大致相等的外径,顶端部分圆滑地形成。

Description

基板处理装置、以及半导体器件的制造方法
技术领域
本发明涉及基板处理装置以及半导体器件的制造方法,尤其涉及利用等离子体来处理基板的基板处理装置以及半导体器件的制造方法。
背景技术
半导体器件制造工序之一是成膜工序,其使用对等离子体利用的CVD(ChemicalVapor Deposition)法或ALD(Atomic Layer Deposition)法在基板上堆积规定的薄膜(参照专利文献1)。CVD法是指,利用气体状原料的气相以及表面上的反应,而将以原料气体分子中所含的元素为构成要素的薄膜向被处理基板上堆积的方法。CVD法中,将包含构成要形成的膜的多个元素在内的多个种类的原料气体等同时向被处理基板上供给来成膜。在ALD法的情况下,将包含构成要形成的膜的多个元素的多个种类的原料气体等交替地向被处理基板上供给来成膜。ALD法中,薄膜堆积以原子层级别来控制。并且,等离子体用于在CVD法中促进堆积的薄膜的化学反应,或从薄膜去除杂质,或者在ALD法中对吸附的成膜原料的化学反应进行辅助等。在专利文献2中公开了使用上述技术的Si3N4成膜。
现有技术文献
专利文献
专利文献1:日本特开2012-94652号公报
专利文献2:日本特开2010-62230号公报
发明内容
伴随着半导体器件制造中的阶段性的微小化,要求以更低的基板温度来成膜。此时,调整用于形成等离子体的高频电力以使其对于成膜条件成为最佳,但若高频电力变大,则有时对反应管和电极付与的损害也变大,或妨碍稳定的等离子体生成。
本发明的主要目的是提供一种技术,其能够减小在利用等离子体来处理基板时对反应管和基板付与的损害,并能够进行稳定的等离子体生成。
为了实现上述目的,本发明提供一种技术,其构成为,具有:供向基板供给之前的气体流通的缓冲室;在缓冲室内大致平行地延伸的至少一对放电电极;和以不使一对放电电极暴露于气体的方式分别覆盖于至少一对放电电极的绝缘体制的一对鞘管,在一对放电电极的至少一方,在与被馈电的端不同的端处设有金属制的帽,该帽具有与放电电极大致相等的外径,顶端部分圆滑地形成。
发明效果
根据本发明,能够提供一种可减小对反应管和电极付与的损害且能够进行稳定的等离子体生成的基板处理装置和使用该装置的半导体器件的制造方法。
附图说明
图1A是实施例1的基板处理装置的主要部分的构成的剖视图。
图1B是表示实施例1的基板处理装置的放电电极的顶端的帽的构成的图。
图2是用于说明实施例1的远程等离子体处理装置的构成的概略立体透视图。
图3是以概略纵截面来表示实施例1的、远程等离子体处理装置所用的处理炉部分的图。
图4是实施例1的、图3所示的处理炉的A-A线概略横剖视图。
图5是实施例1的、用于说明远程等离子体处理装置所用的控制器的框图。
图6是表示实施例1的、用于说明氮化硅膜的制造流程的流程图的图。
图7A是以往的基板处理装置的主要部分的构成的剖视图。
图7B是表示以往的基板处理装置的放电电极的顶端部分的构成的图。
附图标记说明
1、203 反应管
2 被处理基板
4 放电电极端部
5、30 放电电极
6 缓冲室
8 振荡器
9 匹配器
10 小孔
11 等离子体
12 晶舟
13 气体导入口
14 鞘管
15 气体喷嘴
16 套管
17、31 线圈状构造体
18、32 外侧的编结物
33 帽
101 基板处理装置
200 晶圆
202 处理炉
280 控制器
281 CPU
471、472、481、482 棒状电极
具体实施方式
以下,依次说明用于实施本发明的方式,但为了更好地理解本发明,使用图7A、图7B来说明以往的构成中的问题点。图7A的(a)、(b)表示从上方观察以往的基板处理装置的反应室部分的剖视图、和其a-a’的剖视图。图7B放大表示a-a’的剖视图的放电电极的顶端部分。
如图7A所示,在反应管1内部的壁面附近设有在垂直方向上细长的缓冲室6,在该缓冲室6的内部设置有由鞘管14覆盖的放电电极5、和用于在缓冲室内获得均匀气流的气体喷嘴15,该鞘管14由两根电介质构成。并成为如下构成:向放电电极端部4施加由振荡器8产生的高频电力,在缓冲室6内的一对放电电极5之间生成等离子体11,等离子体11对从气体喷嘴15供给的反应性气体进行激励,并通过开设于缓冲室6的壁上的喷嘴10向反应室内的未图示的被处理基板供给。
此外,作为放电电极5的构造,如图7B所示地成为如下构造:由以高融点金属的线材为编结物18的部件在外侧覆盖绕着中心紧密卷绕的线圈状构造体17。
此时,如图7B所示,放电电极5的内侧的线圈状构造体17和外侧的编结物18需要在电极的两端固定,在由筒状的套管16覆盖的基础上,成为压合连接的构造。然后,将套管16的不需要部分切断而成形,但由于横截面是尖锐的,所以当放电时高频电压会集中于放电电极5的套管16的横截面,对作为电介质管的鞘管14的损害变大,会产生微小贯穿孔等而成为反应管1的寿命缩短的要因。
以下,依照附图按顺序来说明能够将上述的以往构造的基板处理装置的课题解决的本发明的实施方式。
【实施例1】
实施例1是基板处理装置、和使用该装置的半导体器件的制造方法的实施例,基板处理装置构成为,具有:供向基板供给之前的气体流通的箱状的缓冲室;在缓冲室内大致平行地延伸的一对棒状的放电电极;和以不使一对放电电极暴露于气体的方式分别覆盖于一对棒状电极的绝缘体制的一对鞘管,一对放电电极的至少一方在与被馈电的端不同的端处设有金属制的帽,该帽具有与放电电极大致相等的外径,顶端部分圆滑地形成。
使用图1A、图1B来说明实施例1的基板处理装置。图1A的(a)、(b)、(c)分别表示从上方观察实施例1的基板处理装置主要部分的构成、尤其观察其反应室部分的上截面、上剖视图中的A-A’截面、B-B’截面。
如图1A所示,在反应管1内部设有晶舟12,晶舟12能够分多层以相同间隔载置多片被处理基板2。晶舟12能够通过省略图示的升降机构而向反应管1内出入。另外,为了提高处理的均匀性,设有省略图示的晶舟12的旋转机构。
在反应管1内部的壁面附近设有在垂直方向上细长的缓冲室6,在缓冲室6的内部设置有一对的由两根为电介质管的鞘管14所覆盖的放电电极5、和用于在缓冲室6内获得均匀气流的气体喷嘴15。也就是说,在反应管1内具有与被处理基板2的排列方向平行地设置的气体喷嘴15,进行从该气体喷嘴的第1气体供给。
如图1A的(b)所示,从气体导入口13导入的气体从气体喷嘴15导入至缓冲室6。通过将放电电极5由为电介质管的鞘管14所覆盖,防止等离子体11与放电电极5的表面接触,并防止由等离子体溅镀放电电极5的表面,使金属污染波及被处理基板2。如图1A的(a)、(c)所示,为了向放电电极5的馈电,放电电极端部4延伸至为电介质管的鞘管14的外部。如图1A的(c)所示,鞘管14为了将放电电极5引导至外部,其一部分折曲。
作为放电电极5,通过使用钨、钼、钽、镍等高融点金属,而能够在被加热至与被处理基板2相同的温度的作为保护管的由电介质构成的鞘管14的内部,不会变质地作为放电电极来使用。如图1A的(a)所示地成为如下构造:由振荡器8产生的高频电力经由匹配器9向放电电极端部4施加。
如图1B所示,分别构成一对放电电极5的本实施例的放电电极30由作为线圈状构造体的芯材31、和设于芯材31的外侧的高融点金属制的编结物32构成并具有挠性,在放电电极30的另一个端部设有金属制的帽33,帽33具有与放电电极30大致相等的外径,顶端部分圆滑地形成。金属制的帽33是高融点金属制,将芯材31和编结物32压接。芯材31将金属线形成为线圈状,帽33是作为高融点金属的钨、钼、钽、或镍制。编结物32在自由状态下呈与鞘管14的内径大致相等或更大的外径,在施加有规定的张力的状态下其两端与芯材31固定。并且,当插入至鞘管14时,能够以与鞘管14内面匹配的方式构成。由此,放电电极5和鞘管14紧密接触,或者两者之间的间隙固定,易于在长边方向上形成均匀的等离子体。也可以为,芯材31在具有线圈状的金属线的基础上,还以从其中心贯穿的方式具有用于正确对齐长度的直线状的金属线。
如图1B所示,帽33形成为具有与放电电极30的外径实质相同的最大直径的如子弹那样的旋转体的形状,沿着旋转轴(对称轴)具有贯穿孔35。也就是说,帽33的顶端部被曲面加工。作为线圈状构造体的芯材31、和其外侧的编结物32插入至帽33的贯穿孔35内,由与从帽33侧面与贯穿孔35之间贯穿的螺纹孔34螺合的无头螺丝固定,与此同时,帽33自身也固定于放电电极30的顶端位置。
这样地,本实施例的基板处理装置的放电电极30通过覆盖于电极顶端部分的帽33而覆盖放电电极30的突起部,由此能够提供一种基板处理装置,其能够防止高频电压的集中,也能够减轻对由电介质构成的鞘管14的损害,并能够进行稳定的等离子体生成。
此外,在图1A、图1B表示了其主要部分的本实施例的基板处理装置中,在由未图示的升降机构使晶舟下降并将被处理基板2载置于晶舟12之后,使晶舟12上升并插入至反应管1内部。接下来,向未图示的加热器投入电源,将反应管1以及内部的晶舟12、被处理基板2等加热至规定温度。同时由未图示的泵对反应管1内部进行排气。当反应管1内部的各部分的温度成为规定值之后,一边使晶舟旋转一边将用于被处理基板的处理的气体导入至气体导入口13。反应管1内部的压力由未图示的压力调整机构调节,当成为规定值之后,将振荡器8输出的高频电力经由匹配器9向放电电极端部4供给。由此进行如下处理:在缓冲管6内部产生等离子体11,并使导入的气体以及活性化后的粒子从在缓冲管6上设有多个的小孔10向旋转的被处理基板2供给。
接下来,使用图2~图6来说明远程等离子体处理装置的构成和其工作,而作为实施例1的基板处理装置的一个具体例。也就是说,说明如下的远程等离子体处理装置,其是对载置于反应室内的多个被处理基板进行批次处理的远程等离子体处理装置,在装填被处理基板的反应炉内设有产生等离子体的空间,利用由在该空间内产生的等离子体所生成的电中性的活性物质,对多个被处理基板进行批次处理,并且该远程等离子体处理装置使用如下的等离子体发生用的放电电极,该放电电极由难以在被处理基板的处理温度下变质的高融点金属制,并具有难以在其顶端部分引起电界集中的构造。
在图2中,对于基板处理装置101,使用了将作为基板一例的晶圆200收纳的料盒110,晶圆200由半导体硅等材料构成。基板处理装置101具有壳体111,在其内部设置有料盒台114。料盒110通过省略图示的工序内搬送装置而搬入至料盒台114上,或从料盒台114上搬出。
料盒110通过工序内搬送装置以使料盒110内的晶圆200保持垂直姿势且使料盒110的晶圆出入口朝向上方向的方式载置于料盒台114上。料盒台114构成为能够以如下方式工作:将料盒110向壳体111的后方右旋而在纵方向上旋转90°,使料盒110内的晶圆200成为水平姿势,使料盒110的晶圆出入口朝向壳体111的后方。
在壳体111内的前后方向上的大致中央部设置有料盒架105,料盒架105构成为,由多层多列来保管多个料盒110。在料盒架105上设有供成为晶圆移载机构125的搬送对象的料盒110收纳的移载架123。
在料盒台114的上方设有预备料盒架107,以预备地保管料盒110的方式构成。在料盒台114与料盒架105之间设置有料盒搬送装置118。料盒搬送装置118具有在保持料盒110的状态下能够升降的料盒升降机118a、和作为搬送机构的料盒搬送机构118b。料盒搬送装置118构成为,通过料盒升降机118a和料盒搬送机构118b的连动工作而在料盒台114、料盒架105和预备料盒架107之间搬送料盒110。
在料盒架105的后方设置有晶圆移载机构125。晶圆移载机构125具有能够使晶圆200在水平方向上旋转或直线运动的晶圆移载装置125a、和用于使晶圆移载装置125a升降的晶圆移载装置升降机125b。在晶圆移载装置125a上设有用于拾取晶圆200的夹钳部件125c。晶圆移载装置125构成为,通过晶圆移载装置125a和晶圆移载装置升降机125b的连动工作,将夹钳部件125c作为晶圆200的载置部,使晶圆200相对于晶舟217装填(装入),或从晶舟217卸载(取出)。
在壳体111的后部上方设有对晶圆200进行热处理的处理炉202,处理炉202的下端部以由炉口开闭闸147开闭的方式构成。在处理炉202的下方设有使晶舟217相对于处理炉202升降的晶舟升降机115。在晶舟升降机115的升降台连结有臂128,在臂128上水平地安装有密封盖219。密封盖219构成为,将晶舟217垂直地支承,并且能够将处理炉202的下端部封闭。
在料盒架105的上方设置有清洁单元134a,其供给作为清洁化后的环境气体的清洁空气。清洁单元134a具有省略图示的供给风扇以及防尘过滤器,以使清洁空气在壳体111的内部流通的方式构成。在壳体111的左侧端部设置有供给清洁空气的清洁单元134b。清洁单元134b也具有省略图示的供给风扇以及防尘过滤器,以使清洁空气在晶圆移载装置125a和晶舟217等附近流通的方式构成。该清洁空气在晶圆移载装置125a和晶舟217等附近流通之后,向壳体111的外部排气。
接下来,说明图2的基板处理装置101的主要工作。当通过省略图示的工序内搬送装置使料盒110搬入至料盒台114上后,料盒110以使晶圆200在料盒台114之上保持垂直姿势,并使料盒110的晶圆出入口朝向上方向的方式载置于料盒台114上。然后,料盒110通过料盒台114以使料盒110内的晶圆200成为水平姿势,并使料盒110的晶圆出入口朝向壳体111的后方的方式向壳体111的后方右旋而在纵方向上旋转90°。
然后,料盒110通过料盒搬送装置118向料盒架105或预备料盒架107的指定的架上位置自动地搬送并被接收,在临时保管之后,通过料盒搬送装置118从料盒架105或预备料盒架107移载至移载架123,或直接搬送至移载架123。
当料盒110移载至移载架123后,晶圆200通过晶圆移载装置125a的夹钳部件125c从料盒110穿过料盒110的晶圆出入口而拾取,向晶舟217装填(装入)。在晶舟217上接收到晶圆200的晶圆移载装置125a返回至料盒110,将后续的晶圆200向晶舟217装填。
当预先指定片数的晶圆200装填至晶舟217后,将处理炉202的下端部关闭的炉口开闭闸147打开,使处理炉202的下端部开放。然后,保持有晶圆200组的晶舟217通过晶舟升降机115的上升工作而搬入(装载)至处理炉202内,使处理炉202的下部由密封盖219封闭。装载后,相对于晶圆200实施任意的处理。
接下来,参照图3、图4来说明上述的基板处理装置101中所使用的处理炉202。如图3以及图4所示,在处理炉202上,设有作为用于加热晶圆200的加热装置(加热机构)的加热器207。加热器207具有上方封闭的圆筒形状的隔热部件、和多根加热线,并具有相对于隔热部件设有加热线的单元结构。在加热器207的内侧,与加热器207为同心圆状地设有用于处理晶圆200的石英制的反应管203。该反应管203相当于图1A的反应管1。
在反应管203的下方,设有作为能够将反应管203的下端开口气密地封闭的炉口盖体的密封盖219。密封盖219从垂直方向下侧与反应管203的下端抵接。密封盖219例如由不锈钢等金属构成,形成为圆盘状。在设于反应管203的下部开口端部的环状的凸缘与密封盖219的上表面之间配置有气密部件(以下O型环)220,两者之间被气密地密封。至少,通过反应管203以及密封盖219形成处理室201。
在密封盖219上设有支承晶舟217的晶舟支承台218。晶舟支承台218作为例如由石英或碳化硅等耐热性材料构成的隔热部来发挥作用,并且成为支承晶舟的支承体。晶舟217竖立设置于晶舟支承台218上。晶舟217例如由石英或碳化硅等耐热性材料构成。晶舟217具有固定于晶舟支承台218的底板210和配置于其上方的顶板211,并具有在底板210与顶板211之间架设有多根支柱212的构成(参照图3)。在晶舟217上保持有多片晶圆200。多片晶圆200一边彼此隔开固定间隔,一边保持水平姿势且在将中心对齐的状态下在反应管203的管轴向上积载多层并支承于晶舟217的支柱212。
在密封盖219的与处理室201的相反侧设有使晶舟旋转的晶舟旋转机构267。晶舟旋转机构267的旋转轴265将密封盖贯穿而与晶舟支承台218连接,通过旋转机构267经由晶舟支承台218使晶舟217旋转,由此使晶圆200旋转。
密封盖219通过作为设于反应管203的外部的升降机构的晶舟升降机115而在垂直方向上升降,由此能够将晶舟217相对于处理室201内搬入搬出。
对于以上的处理炉202,在批次处理的多片晶圆200相对于晶舟217层叠多层的状态下,使晶舟217一边由晶舟支承台218支承一边插入至处理室201、并使加热器207将插入至处理室201的晶圆200加热至规定的温度。
如图3以及图4所示,连接有用于供给原料气体的三根气体供给管310、320、330。在处理室201内设有喷嘴410、420、430。喷嘴410、420、430将反应管203的下部贯穿。在喷嘴410上连接有气体供给管310,在喷嘴420上连接有气体供给管320,在喷嘴430上连接有气体供给管330。
在气体供给管310上,从上游侧依次设有作为开闭阀的阀314、作为液体原料的流量控制装置的液体质量流量控制器312、作为气化单元(气化装置)的气化器315以及作为开闭阀的阀313。
气体供给管310的下游侧的端部与喷嘴410的端部连接。喷嘴410设置为,在反应管203的内壁与晶圆200之间的圆弧状的空间内,从反应管203的内壁的下部沿着上部朝向晶圆200的积载方向上方立起。喷嘴410作为L字型的长喷嘴而构成。在喷嘴410的侧面设有供给原料气体的多个气体供给孔411。气体供给孔411以朝向反应管203的中心的方式开口。气体供给孔411在从下部到上部的范围内具有相同的开口面积或具有对面积大小设置变化斜率的开口面积,以相同间距设置。
而且,在气体供给管310上设有在阀313以及气化器315之间与后述的排气管232连接的弯管610以及阀612。
主要由气体供给管310、阀314、液体质量流量控制器312、气化器315、阀313、喷嘴410、弯管610、阀612而构成了气体供给系统301。
另外,在气体供给管310上,在阀313的下游侧连接有用于供给运载气体(非活性气体)的运载气体供给管510。在运载气体供给管510上设有质量流量控制器512以及阀513。主要由运载气体供给管510、质量流量控制器512、阀513构成了运载气体供给系统(非活性气体供给系统)501。
在气体供给管310中,液体原料由液体质量流量控制器312进行流量调整并向气化器315供给,被气化而成为原料气体而供给。在没有将原料气体向处理室201供给的期间,关闭阀313,打开阀612,经由阀612使原料气体流向弯管610。
并且,在将原料气体向处理室201供给时,关闭阀612,打开阀313,将原料气体向阀313的下游的气体供给管310供给。另一方面,运载气体由质量流量控制器512进行流量调整经由阀513从运载气体供给管510供给,原料气体在阀313的下游侧与该运载气体合流,并经由喷嘴410向处理室201供给。在气体供给管320上,从上游侧依次设有作为流量控制装置的质量流量控制器322以及作为开闭阀的阀323。
气体供给管320的下游侧的端部与喷嘴420的端部连接。喷嘴420设于作为气体扩散空间(放电室,放电空间)的缓冲室423内。在缓冲室423内还设有后述的电极保护管451、452。喷嘴420、电极保护管451、电极保护管452在缓冲室423内以此顺序配置。
缓冲室423由反应管203的内壁和缓冲室壁424形成。缓冲室壁424在反应管203的内壁与晶圆200之间的圆弧状的空间内,沿着晶圆200的积载方向设于从反应管203内壁的下部到上部的部分。在缓冲室壁424的与晶圆200相邻的壁上设有供给气体的气体供给孔425。气体供给孔425设在电极保护管451与电极保护管452之间。气体供给孔425以朝向反应管203的中心的方式开口。气体供给孔425在从反应管203的下部到上部的范围设有多个,各自具有相同的开口面积,并以相同间距设置。
喷嘴420从反应管203的内壁的下部沿着上部以朝向晶圆200的积载方向上方立起的方式设于缓冲室423的一端侧。喷嘴420作为L字型的长喷嘴而构成。在喷嘴420的侧面设有供给气体的气体供给孔421。气体供给孔421以朝向缓冲室423的中心的方式开口。气体供给孔421与缓冲室423的气体供给孔425同样地,在从反应管203的下部到上部的范围内设有多个。多个气体供给孔421各自的开口面积在缓冲室423内与喷嘴420内的压差小的情况下,设为从上游侧(下部)到下游侧(上部)为相同的开口面积且为相同的间距即可,但在压差大的情况下,从上游侧朝向下游侧依次增大开口面积或缩小间距即可。
本构成的基板处理装置中,对喷嘴420的气体供给孔421各自的开口面积和开口间距,从上游侧到下游侧如上所述地进行调节,由此,首先,从各个气体供给孔421喷出流速的差或流量为几乎相同量的气体。而且,一旦将从各个气体供给孔421喷出的气体导入至缓冲室423内,在缓冲室423内进行气体的流速差的均匀化。
也就是说,从喷嘴420的各个气体供给孔421向缓冲室423内喷出的气体在缓冲室423内使各气体的粒子速度缓和之后,从缓冲室423的气体供给孔425向处理室201内喷出。由此,当从喷嘴420的各个气体供给孔421向缓冲室423内喷出的气体从缓冲室423的各个气体供给孔425向处理室201内喷出时,成为具有均匀的流量和流速的气体。
而且,在气体供给管320上设有在阀323以及质量流量控制器322之间与后述的排气管232连接的弯管620以及阀622。主要由气体供给管320、质量流量控制器322、阀323、喷嘴420、缓冲室423、弯管620、阀622而构成了气体供给系统302。
另外,在气体供给管320上,在阀323的下游侧连接有用于供给运载气体(非活性气体)的运载气体供给管520。在运载气体供给管520上设有质量流量控制器522以及阀523。主要由运载气体供给管520、质量流量控制器522、阀523构成了运载气体供给系统(非活性气体供给系统)502。在气体供给管320中,气体原料气体由质量流量控制器322进行流量调整而供给。
在没有将原料气体向处理室201供给的期间,关闭阀323,打开阀622,经由阀622使原料气体流向弯管620。并且,当将原料气体向处理室201供给时,关闭阀622,打开阀323,将原料气体向阀323的下游的气体供给管320供给。另一方面,运载气体由质量流量控制器522进行流量调整并经由阀523从运载气体供给管520供给,原料气体在阀323的下游侧与该运载气体合流,经由喷嘴420、缓冲室423向处理室201供给。
在气体供给管330上,从上游侧依次设有作为流量控制装置的质量流量控制器332以及作为开闭阀的阀333。气体供给管330的下游侧的端部与喷嘴430的端部连接。喷嘴430设于作为气体扩散空间(放电室,放电空间)的缓冲室433内。在缓冲室433内还设有后述的电极保护管461、462。喷嘴430、电极保护管461、电极保护管462在缓冲室433内以此顺序配置。
缓冲室433由反应管203的内壁和缓冲室壁434形成。缓冲室壁434在反应管203的内壁与晶圆200之间的圆弧状的空间内,沿着晶圆200的积载方向设于从反应管203内壁的下部到上部的部分。在缓冲室壁434的与晶圆200相邻的壁上设有供给气体的气体供给孔435。气体供给孔435设在电极保护管461与电极保护管462之间。气体供给孔435以朝向反应管203的中心的方式开口。气体供给孔435在从反应管203的下部到上部的范围内设有多个,各自具有相同的开口面积,并以相同的间距设置。
喷嘴430从反应管203的内壁的下部沿着上部以朝向晶圆200的积载方向上方立起的方式设于缓冲室433的一端侧。喷嘴430作为L字型的长喷嘴而构成。在喷嘴430的侧面设有供给气体的气体供给孔431。气体供给孔431以朝向缓冲室433的中心的方式开口。气体供给孔431与缓冲室433的气体供给孔435同样地,在从反应管203的下部到上部的范围内设有多个。多个气体供给孔431各自的开口面积在缓冲室433内与喷嘴430内的压差小的情况下,设为从上游侧(下部)到下游侧(上部)为相同的开口面积且为相同的间距即可,但在压差大的情况下,从上游侧朝向下游侧依次增大开口面积或缩小间距即可。
本构成的基板处理装置中,对喷嘴430的气体供给孔431各自的开口面积和开口间距,从上游侧到下游侧如上所述地进行调节,由此,首先,从各个气体供给孔431喷出流速的差或流量为几乎相同量的气体。而且,一旦将从各个气体供给孔431喷出的气体导入至缓冲室433内,在缓冲室433内进行气体的流速差的均匀化。
也就是说,从喷嘴430的各个气体供给孔431向缓冲室433内喷出的气体在缓冲室433内使各气体的粒子速度缓和之后,从缓冲室433的气体供给孔435向处理室201内喷出。由此,当从喷嘴430的各个气体供给孔431向缓冲室433内喷出的气体从缓冲室433的各个气体供给孔435向处理室201内喷出时,成为具有均匀的流量和流速的气体。
而且,在气体供给管330上设有在阀333以及质量流量控制器332之间与后述的排气管232连接的弯管630以及阀632。主要由气体供给管330、质量流量控制器332、阀333、喷嘴430、缓冲室433、弯管630、阀632而构成了气体供给系统303。
另外,在气体供给管330上,在阀333的下游侧连接有用于供给运载气体(非活性气体)的运载气体供给管530。在运载气体供给管530上设有质量流量控制器532以及阀533。主要由运载气体供给管530、质量流量控制器532、阀533构成了运载气体供给系统(非活性气体供给系统)503。在气体供给管330中,气体原料气体由质量流量控制器332进行流量调整而供给。
在没有将原料气体向处理室201供给的期间,关闭阀333,打开阀632,经由阀632使原料气体流向弯管630。并且,当将原料气体向处理室201供给时,关闭阀632,打开阀333,将原料气体向阀333的下游的气体供给管330供给。另一方面,运载气体由质量流量控制器532进行流量调整并经由阀533从运载气体供给管530供给,原料气体在阀333的下游侧与该运载气体合流,经由喷嘴430、缓冲室433向处理室201供给。
在缓冲室423内,从反应管203的下部到上部的范围内沿着晶圆200的层叠方向配设有相当于具有图1A、图1B所示的帽33的一对放电电极5的、具有细长构造的棒状电极471以及棒状电极472。棒状电极471以及棒状电极472分别与喷嘴420平行地设置,其顶端与放电电极30同样地形成为半圆状。棒状电极471以及棒状电极472分别由相当于图1A所示的鞘管14的在从上部到下部的范围内保护电极的保护管、即电极保护管451、452覆盖而被保护。缓冲室433的高度例如为500~1500mm,棒状电极481以及棒状电极482的长度也为相同程度,比波长的1/4短。棒状电极471经由匹配器271与高频(RF:Radio Frequency)电源270连接,棒状电极472与作为基准电位的接地线272连接。该结果为,在棒状电极471以及棒状电极472之间的等离子体生成区域内生成等离子体。主要由棒状电极471、棒状电极472、电极保护管451、电极保护管452、缓冲室423以及气体供给孔425构成了第1等离子体发生构造429。主要由棒状电极471、棒状电极472、电极保护管451、电极保护管452、匹配器271、高频电源270构成了作为等离子体发生器(等离子体发生部)的第1等离子体源。第1等离子体源作为使气体以等离子体活性化的活性化机构而发挥作用。缓冲室423作为等离子体发生室而发挥作用。
在缓冲室433内,从反应管203的下部到上部的范围内沿着晶圆200的层叠方向配设有具有细长构造的棒状电极481以及棒状电极482。棒状电极481以及棒状电极482分别与喷嘴430平行地设置,棒状电极481以及棒状电极482分别由在从上部到下部的范围内保护电极的保护管、即电极保护管461、462覆盖而被保护。棒状电极481经由匹配器271与高频电源270连接,棒状电极482与作为基准电位的接地线272连接。主要由棒状电极481、棒状电极482、电极保护管461、电极保护管462、缓冲室433以及气体供给孔435构成了第2等离子体发生构造439。主要由棒状电极481、棒状电极482、电极保护管461、电极保护管462、匹配器271、高频电源270构成了作为等离子体发生器(等离子体发生部)的第2等离子体源。第2等离子体源作为使气体以等离子体活性化的活性化机构而发挥作用。缓冲室433作为等离子体发生室而发挥作用。
此外,将通过本构成的基板处理装置所产生的等离子体称为远程等离子体。远程等离子体是指,将在电极间生成的等离子体通过气体的流动等向被处理物表面输送而进行等离子体处理。在本实施例中,在缓冲室423内收容有两根棒状电极471以及472,在缓冲室433内收容有两根棒状电极481以及482,由此成为如下构造:对晶圆200造成损害的离子难以泄漏至缓冲室423、433外的处理室201内。另外,以将两根棒状电极471以及472包围的方式(即,以将分别收容有两根棒状电极471以及472的电极保护管451以及452包围的方式)产生电场,生成等离子体,以将两根棒状电极481以及482包围的方式(即,以将分别收容有两根棒状电极481以及482的电极保护管461以及462包围的方式)产生电场,生成等离子体。等离子体中所含的活性物质经由缓冲室423的气体供给孔425以及缓冲室433的气体供给孔435从晶圆200的外周向晶圆200的中心方向供给。另外,若如本实施方式那样地是将多片晶圆200以使主面与水平面平行的方式层叠为堆栈状的纵型的批处理装置,则缓冲室423、433配置于离反应管203的内壁面近、即离应处理的晶圆200近的位置,该结果为,具有易于使产生的活性物质不丧失活性地到达晶圆200的表面的效果。
如图3、4所示,在反应管的下部设有排气口230。排气口230与排气管231连接。喷嘴410的气体供给孔411和排气口230设于隔着晶圆200相对的位置(180度的相反侧)。若这样做,由气体供给孔411供给的原料气体以在晶圆200的主面上朝向排气管231的方向横切的方式流动,使原料气体易于均匀地从晶圆200的整个面供给,能够在晶圆200上形成均匀的膜。
根据本构成的基板处理装置,具有主要由棒状电极471、棒状电极472、电极保护管451、电极保护管452、匹配器271、高频电源270构成的第1等离子体源、和主要由棒状电极481、棒状电极482、电极保护管461、电极保护管462、匹配器271、高频电源270构成的第2等离子体源。为了使用等离子体并降低晶圆200的处理温度,需要增大形成等离子体时的高频电力,但若增大高频电力,对晶圆200和形成的膜付与的损害也会变大。相对于此,在本实施例的基板处理装置中,因为设置第1等离子体源以及第2等离子体源这两个等离子体源,所以与等离子体源为一个的情况相比,即使对各等离子体源供给的高频电力变小,也能够产生充分量的等离子体。因此,当利用等离子体来处理晶圆200时能够减小对晶圆200和形成的膜付与的损害,而且也能够降低晶圆200的处理温度。
另外,主要由棒状电极471、棒状电极472、电极保护管451、电极保护管452、缓冲室423以及气体供给孔425构成的第1等离子体发生构造429、和主要由棒状电极481、棒状电极482、电极保护管461、电极保护管462、缓冲室433以及气体供给孔435构成的第2等离子体发生构造439相对于从晶圆200的中心(反应管203的中心)通过的线设为线对称,因此易于根据两个等离子体发生构造,使原料气体易于均匀地从晶圆200的整个面供给,能够在晶圆200上形成均匀的膜。
而且,如图1A、图1B所示,棒状电极471、472、481、482是具有帽33的电极,帽33具有如难以引起电界集中的方式被曲面加工的构造,由此能够减小对反应管和基板付与的损害,能够进行稳定的等离子体生成。
而且,排气口230也设在从该晶圆200的中心(反应管203的中心)通过的线上,因此使等离子体易于均匀地从晶圆200的整个面供给,能够在晶圆200上形成均匀的膜。还而且,喷嘴410的气体供给孔411也设在从该晶圆200的中心(反应管203的中心)通过的线上,因此使原料气体易于均匀地从晶圆200的整个面供给,能够在晶圆200上形成均匀的膜。
还而且,以使喷嘴410的气体供给孔411与缓冲室423的气体供给孔425之间的距离、和喷嘴410的气体供给孔411与缓冲室433的气体供给孔435之间的距离相等的方式配置气体供给孔411、气体供给孔425、气体供给孔435,因此能够在晶圆200上形成均匀的膜。
若再次参照图3、4,在反应管的下部的排气口230上连接有将处理室201内的环境气体排气的排气管231。在排气管231上,经由作为检测处理室201内的压力的压力检测器(压力检测部)的压力传感器245以及作为压力调整器(压力调整部)的APC(Auto PressureController)阀243而连接有作为真空排气装置的真空泵246,能够以使处理室201内的压力成为规定的压力(真空度)的方式进行真空排气。真空泵246的下游侧的排气管232与省略图示的废气处理装置等连接。此外,APC阀243是能够将阀开闭而进行处理室201内的真空排气、真空排气停止、而且调节阀开度来调整传导性而进行处理室201内的压力调整的开闭阀。主要由排气管231、APC阀243、真空泵246、压力传感器245构成了排气系统。
在反应管203内设置有作为温度检测器的温度传感器263,构成为,基于由温度传感器263检测到的温度信息来调整向加热器207的供给电力,由此使处理室201内的温度成为所希望的温度分布。温度传感器263构成为L字型,贯穿支管部209而导入,沿着反应管203的内壁设置。
在反应管203内的中央部设有晶舟217。晶舟217能够通过晶舟升降机115而相对于反应管203升降(出入)。当晶舟217导入至反应管203内时,反应管203的下端部经由O型环220由密封盖219气密地密封。晶舟217支承于晶舟支承台218。为了提高处理的均匀性,驱动晶舟旋转机构267,使支承于晶舟支承台218的晶舟217旋转。
若参照图5,控制器280具有:显示操作菜单等的显示器288;和包括多个按键而构成并使各种信息和操作指示输入的操作输入部290。另外,控制器280具有:管理基板处理装置101整体的工作的CPU281;预先储存有包括控制程序的各种程序等的ROM282;临时储存各种数据的RAM283;将各种数据储存而保持的HDD284;控制各种信息向显示器288的显示并接收来自显示器288的操作信息的显示驱动器287;检测对于操作输入部290的操作状态的操作输入检测部289;和与后述的温度控制部291、后述的压力控制部294、真空泵246、晶舟旋转机构267、晶舟升降机115、质量流量控制器312、322、332、512、522、532、后述的阀控制部299等各部件进行各种信息的授受的通信接口(I/F)部285。
CPU281、ROM282、RAM283、HDD284、显示驱动器287、操作输入检测部289以及通信I/F部285经由系统总线BUS286相互连接。因此,CPU281能够进行向ROM282、RAM283、HDD284的访问,并且能够执行经由显示驱动器287的各种信息向显示器288的显示的控制以及来自显示器288的操作信息的把握、基于通信I/F部285的与各部件的各种信息的授受的控制。另外,CPU281能够经由操作输入检测部289而把握用户相对于操作输入部290的操作状态。
温度控制部291具有加热器207、向加热器207供给电力的加热用电源250、温度传感器263、在控制器280之间对设定温度信息等各种信息进行授受的通信I/F部293、和基于收到的设定温度信息和来自温度传感器263的温度信息等来控制从加热用电源250向加热器207的供给电力的加热器控制部292。加热器控制部292也由计算机实现。温度控制部291的通信I/F部293和控制器280的通信I/F部285由线缆751连接。
压力控制部294具有APC阀243、压力传感器245、在控制器280之间对设定压力信息、APC阀243的开闭信息等各种信息进行授受的通信I/F部296、和基于收到的设定压力信息、APC阀243的开闭信息等和来自压力传感器245的压力信息等来控制APC阀243的开闭和开度的APC阀控制部295。APC阀控制部295也由计算机实现。压力控制部294的通信I/F部296和控制器280的通信I/F部285由线缆752连接。
真空泵246、晶舟旋转机构267、晶舟升降机115、液体质量流量控制器312、质量流量控制器322、332、512、522、532、高频电源270和控制器280的通信I/F部285分别由线缆753、754、755、756、757、758、759、760、761、762连接。
阀控制部299具有阀313、314、323、333、513、523、533、612、622、632、和控制气体向作为气阀的阀313、314、323、333、513、523、533、612、622、632供给的电磁阀组298。电磁阀组298具有与阀313、314、323、333、513、523、533、612、622、632分别对应的电磁阀297。电磁阀组298和控制器280的通信I/F部285由线缆763连接。
如以上那样,液体质量流量控制器312、质量流量控制器322、332、512、522、532、阀313、314、323、333、513、523、533、612、622、632、APC阀243、加热用电源250、温度传感器263、压力传感器245、真空泵246、晶舟旋转机构267、晶舟升降机115、高频电源270等各部件与控制器280连接。控制器280分别进行如下工作:液体质量流量控制器312、质量流量控制器322、332、512、522、532的流量控制、阀313、314、323、333、513、523、533、612、622、632的开闭工作控制;经由APC阀243的开闭控制以及基于来自压力传感器245的压力信息的开度调整工作进行的压力控制;经由基于来自温度传感器263的温度信息的从加热用电源250向加热器207的电力供给量调整工作进行的温度控制;从高频电源270供给的高频电力的控制;真空泵246的起动、停止控制;晶舟旋转机构267的旋转速度调节控制;和晶舟升降机115的升降工作控制等。
接下来,说明使用上述的基板处理装置来制造大规模集成电路(LSI:Large ScaleIntegration)的半导体器件(设备)的制造工序的一例。此外,在以下说明中,构成基板处理装置的各部分的工作由控制器280控制。
对于以往的CVD法和ALD法,例如在CVD法的情况下,同时供给将构成要形成的膜的多个元素包含在内的多个种类的气体等,另外,在ALD法的情况下,交替地供给将构成要形成的膜的多个元素包含在内的多个种类的气体等。并且,通过控制供给时的供给流量、供给时间、等离子体功率等处理条件而形成氧化硅膜(SiO膜)和专利文献2所公开的氮化硅膜(Si3N4)。在这些技术中以下述情况为目的来控制供给条件:例如在形成SiO膜的情况下,使膜的组成比成为作为化学计量组成的O/Si≒2,另外在例如形成Si3N4膜的情况下,使膜的组成比成为作为化学计量组成的N/Si≒1.33。
另一方面,也能够以下述情况为目的来控制供给条件:使形成的膜的组成比成为与化学计量组成不同的规定的组成比。也就是说,将以使构成要形成的膜的多个元素中的至少一个元素与其他元素相比、相对于化学计量组成成为过剩的情况作为目的来控制供给条件。能够在这样地控制构成要形成的膜的多个元素的比率、即膜的组成比的同时进行成膜。
以下,说明交替供给包含不同种类的元素的多个种类的气体来形成具有化学计量组成的氮化硅膜的顺序例。
在此,参照图6来说明如下例子:将第1元素设为硅(Si),将第2元素设为氮(N),作为包含第1元素的原料而使用将为含硅原料且为液体原料的BTBAS(SiH2(NH(C4H9)2、双(叔丁基氨基)硅烷)气化后的BTBAS气体,作为包含第2元素的反应气体而使用作为含氮气体的NH3气体,在配线工序(BEOL)中在基板上形成作为绝缘膜的氮化硅膜。
图6是用于说明氮化硅膜的制造流程的流程图。首先控制向加热器207供给电力的加热用电源250而将处理室201内保持为200℃以下的温度,更优选为100℃以下的温度且例如成为100℃的温度。
然后,在晶圆装入后,将真空泵246起动。另外,打开炉口开闭闸147(参照图2)。支承有多片晶圆200的晶舟217由晶舟升降机115抬起而向处理室201内搬入(晶舟装载)(步骤S202)。在该状态下,密封盖219成为经由O型环220将反应管203的下端密封的状态。然后,使晶舟217由晶舟驱动机构267旋转,使晶圆200旋转。
然后,打开APC阀243并通过真空泵246以使处理室201内成为所希望的压力(真空度)的方式抽真空,当晶圆200的温度达到100℃并温度等稳定之后(步骤S203),在将处理室201内的温度保持为100℃的状态下依次执行如下的步骤。
此时,处理室201内的压力由压力传感器245来测定,基于该测定到的压力对APC阀243的开度进行反馈控制(压力调整)。另外,以使处理室201内成为所希望的温度的方式由加热器207加热。此时,以使处理室201内成为所希望的温度的方式,基于温度传感器263检测到的温度信息而对从加热用电源250向加热器207的电力供给情况进行反馈控制(温度调整)。
接下来,将BTBAS气体和NH3气体(自由基)向处理室201内供给,由此进行使氮化硅膜成膜的氮化硅膜形成工序。在氮化硅膜形成工序中,依次重复执行如下的四个步骤(S204~S207)。
<BTBAS供给:步骤S204>
在步骤S204中,从气体供给系统301的气体供给管310、喷嘴410将BTBAS向处理室201内供给。关闭阀313,打开阀314、612。BTBAS在常温下为液体,液体的BTBAS由液体质量流量控制器312进行流量调整并向气化器315供给由气化器315气化。在将BTBAS向处理室201供给之前,关闭阀313,打开阀612,经由阀612使BTBAS流向弯管610。
并且,在将BTBAS向处理室201供给时,关闭阀612,打开阀313,将BTBAS向阀313的下游的气体供给管310供给,并且打开阀513,从运载气体供给管510供给运载气体(N2)。运载气体(N2)的流量由质量流量控制器512调整。BTBAS与运载气体(N2)在阀313的下游侧合流并混合,经由喷嘴410的气体供给孔411向处理室201供给,同时从排气管231排气。此时,恰当地调整APC阀243而使处理室201内的压力处于50~900Pa的范围,例如维持为300Pa。由液体质量流量控制器312控制的BTBAS的供给量处于0.05~3.00g/min的范围,例如设为1.00g/min。使晶圆200暴露于BTBAS的时间处于2~6秒间的范围,例如为3秒。另外,控制向加热器207供给电力的加热用电源250而将处理室201内保持为200℃以下,更优选为100℃以下的温度而例如成为100℃的温度。
此时,在处理室201内流动的气体仅是BTBAS和作为非活性气体的N2,不存在NH3自由基。因此,BTBAS不会发生气相反应,与晶圆200的表面和底膜进行表面反应(化学吸附),作为第1层而形成原料(BTBAS)的吸附层或含Si层。含Si层是指由解离的BTBAS分子的一部分构成的分子的层,也包括仅由Si构成的薄膜。此外,该处理的早期中也存在晶圆200的表面由不包含Si的原材料、例如碳薄膜覆盖的情况。
若同时打开阀523而从与气体供给管320的中途相连的运载气体供给管520流动少量的N2(非活性气体),则能够防止BTBAS绕入至NH3侧的喷嘴420、缓冲室423和气体供给管320。
<残留气体去除:步骤S205>
在步骤S205中,从处理室201内去除残留BTBAS等残留气体。关闭气体供给管310的阀313来停止BTBAS向处理室201的供给,并打开阀612而使BTBAS向弯管610流动。此时使排气管231的APC阀243全开,由真空泵246将处理室201内排气至成为20Pa以下,将残留于处理室201内的残留BTBAS等残留气体从处理室201内排除。此时,若从作为BTBAS供给管线的气体供给管310,而且从气体供给管320、330向处理室201内供给N2等非活性气体的话,则能够更加提高排除残留BTBAS等残留气体的效果。
<活性化后的NH3供给:步骤S206>
在步骤S206中,从气体供给系统302的气体供给管320经由喷嘴420的气体供给孔421向缓冲室423内供给NH3,从气体供给系统303的气体供给管330经由喷嘴430的气体供给孔431向缓冲室433内供给NH3。此时,通过从高频电源270经由匹配器271向棒状电极471以及棒状电极472之间施加高频电力,使向缓冲室423内供给的NH3气体进行等离子体激励,作为活性物质从气体供给孔425向处理室201内供给,同时从气体排气管231排气。针对缓冲室433也是同样的。
NH3由质量流量控制器322进行流量调整而从气体供给管320向缓冲室423内供给,并由质量流量控制器332进行流量调整而从气体供给管330向缓冲室433内供给。当将NH3向缓冲室423供给时,关闭阀622,打开阀323,将NH3向阀323的下游的气体供给管320供给,并且若需要则打开阀523,从运载气体供给管520供给运载气体(N2)。NH3经由喷嘴420向缓冲室423供给。另外,当将NH3向缓冲室433供给时,关闭阀632,打开阀333,将NH3向阀333的下游的气体供给管330供给。NH3经由喷嘴430向缓冲室433供给。
当通过对NH3气体进行等离子体激励而使其作为活性物质流动时,恰当调整APC阀243而使处理室201内的压力例如为50~900Pa的范围内的压力,例如设为500Pa。由质量流量控制器322以及质量流量控制器332控制的NH3气体的供给流量例如为2000~9000sccm的范围内的流量。使晶圆200暴露于通过对NH3气体进行等离子体激励所获得的活性物质中的时间、也就是说气体供给时间例如为3~20秒间的范围内的时间,例如设为9秒。此外,从高频电源270向棒状电极471以及棒状电极472之间施加的高频电力构成为,例如为13.56MHz或者27.12MHz的频率,并为20~600W的范围内的电力,例如成为200W。从高频电源270向棒状电极481以及棒状电极482之间施加的高频电力也设为同样。NH3气体在通常状态下反应温度高,在上述的晶圆温度、处理室内压力下难以反应,因此通过等离子体激励成为活性物质后流动,由此,晶圆200的温度能够设为如上所述地设定的低温度范围。
此时,在处理室201内流动的气体以规定的比例包含通过对NH3气体进行等离子体激励所获得的活性物质(NH3*),在处理室201内没有流动BTBAS气体。因此,NH3气体不会发生气相反应,成为活性物质的或活性化后的NH3气体与在步骤S204中形成于晶圆200上的第1层反应。由此第1层被氮化,改质为包含硅(第1元素)以及氮(第2元素)的第2层、即氮化硅层(Si3N4层)。
若同时打开阀513从与气体供给管310的中途相连的运载气体供给管510流动N2(非活性气体),则能够防止NH3绕入至BTBAS侧的喷嘴410和气体供给管310。
<残留气体去除:步骤S207>
在步骤S207中,将未反应或帮助实施氮化后的残留NH3等残留气体从处理室201内去除。关闭气体供给管320的阀323以及气体供给管330的阀333来停止NH3向处理室201的供给。此时,使排气管231的APC阀243全开,由真空泵246将处理室201内排气至成为20Pa以下,将残留于处理室201内的残留NH3等残留气体从处理室201内排除。
将上述步骤S204~S207作为一个循环,通过至少进行一次以上(步骤S208)而使规定膜厚的氮化硅膜成膜于晶圆200上。
若进行形成规定膜厚的氮化硅膜的成膜处理,则将N2等非活性气体向处理室201内供给,同时排气,由此由非活性气体清扫处理室201内(气体清扫:步骤S210)。此外优选为,气体清扫在将残留气体去除后,将APC阀243关闭并将阀513、523、533打开来进行N2等非活性气体向处理室201内的供给,然后,将阀513、523、533关闭而停止N2等非活性气体向处理室201内的供给,并且打开APC阀243来进行处理室201内的抽真空,并重复上述工作。
然后,使晶舟旋转机构267停止,而使晶舟217的旋转停止。然后,打开阀513、523、533来将处理室201内的环境气体由N2等非活性气体进行置换(非活性气体置换),使处理室201内的压力恢复为常压(恢复大气压:步骤S212)。然后,通过晶舟升降机115使密封盖219下降,使反应管203的下端开口,并且使完成处理的晶圆200在支承于晶舟217的状态下从反应管203的下端向处理室201的外部搬出(晶舟卸载:步骤S214)。然后,将反应管203的下端由炉口开闭闸147关闭。然后,停止真空泵246。然后,完成处理的晶圆200从晶舟217取出(晶圆卸载:步骤S216)。由此结束一次成膜处理(批次处理)。
本发明并不限定于上述的实施例,包括各种变形例。例如,上述实施例是为了更好地理解本发明而进行了详细说明,并不限定于必须具有所说明的全部构成。例如,放电电极不限于成对地设置两根,也包括使三根以上大致平行地设置的构成。三根并列的放电电极中,中心的一个接地,两侧的两个共通地馈电。
而且,上述的各构成、功能、控制器、CPU等是以制作使他们的一部分或全部实现的程序的例子为中心来说明的,但当然可以例如由集成电路来设计他们的一部分或全部等而由硬件来实现。也就是说,处理部的全部或一部分的功能也可以代替程序,例如由ASIC(Application Specific Integrated Circuit)、FPGA(Field Programmable Gate Array)等集成电路等来实现。

Claims (11)

1.一种基板处理装置,其特征在于,具有:
对基板进行处理的处理室;
供向所述基板供给之前的气体流通的缓冲室;
在所述缓冲室内大致平行地延伸的至少一对放电电极;和
以不使所述一对放电电极暴露于所述气体的方式分别覆盖于所述一对放电电极的绝缘体制的一对鞘管,
在所述一对放电电极的至少一方,在与被馈电的端不同的端处设有金属制的帽,该帽具有与所述放电电极大致相等的外径,顶端部分圆滑地形成。
2.根据权利要求1所述的基板处理装置,其特征在于,
所述一对放电电极各自由芯材、和设于所述芯材的外侧的高融点金属制的编结物构成。
3.根据权利要求1所述的基板处理装置,其特征在于,
所述帽为高融点金属制,以将所述芯材和所述编结物压接的方式构成。
4.根据权利要求1所述的基板处理装置,其特征在于,
在内部还具有使多个基板排列而收容该多个基板的反应管,
所述缓冲室以具有与所述反应管的内部相邻的面的方式与所述反应管一体设置,在所述相邻的面上具有在所述基板排列的区域内设置的一个或多个贯穿孔、和与所述缓冲室的内部连通的气体导入部。
5.根据权利要求1所述的基板处理装置,其特征在于,
在内部还具有使多个基板排列而收容该多个基板的反应管,
所述放电电极沿着所述基板的排列方向配置,
所述鞘管使一部分折曲而构成。
6.根据权利要求1所述的基板处理装置,其特征在于,
在所述反应管内还具有与所述基板的排列方向平行地设置的气体喷嘴,
向所述反应管内交替地供给来自所述气体喷嘴的第1气体、和来自所述缓冲室的包含电中性的活性物质的气体,而在多个所述基板上形成规定的膜。
7.根据权利要求1所述的基板处理装置,其特征在于,
所述帽为钨、钽、或钼制。
8.根据权利要求2所述的基板处理装置,其特征在于,
所述芯材是将金属线形成为线圈状而成的。
9.根据权利要求4所述的基板处理装置,其特征在于,
所述帽具有:贯穿孔,其具有旋转体的形状,沿着旋转轴形成;和螺丝,其将穿插至所述贯穿孔内的所述芯材和所述编结物压接。
10.根据权利要求1所述的基板处理装置,其特征在于,
所述一对放电电极各自由芯材、和设于所述芯材的外侧的高融点金属制的编结物构成,具有比波长的1/4短的长度,
所述芯材是将金属线形成为线圈状而成的,
所述编结物在自由状态下具有比所述鞘管大的外径,
所述帽在对所述编结物付与规定的张力的状态下,将所述芯材和所述编结物压接而固定。
11.一种半导体器件的制造方法,其特征在于,包括:
使向基板供给之前的气体在缓冲室内流通的工序,该缓冲室具有在内部大致平行地延伸的一对放电电极;
供给至所述一对放电电极的高频电力隔着绝缘体制的一对鞘管而对所述缓冲室内的所述气体进行激励并使至少一部分所述气体等离子体化或活性化的工序,其中所述一对鞘管以不使所述一对放电电极暴露于所述气体的方式分别覆盖于所述一对放电电极;和
由等离子体化或活性化后的所述气体对所述基板进行处理的工序,
在所述活性化的工序中,所述一对放电电极的至少一方在与被馈电的端不同的端处设有金属制的帽的状态下被馈电,该帽具有与所述放电电极大致相等的外径,顶端部分圆滑地形成。
CN202010874983.7A 2019-09-25 2020-08-27 基板处理装置、以及半导体器件的制造方法 Pending CN112563109A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019173903A JP6937806B2 (ja) 2019-09-25 2019-09-25 基板処理装置、及び半導体の製造方法
JP2019-173903 2019-09-25

Publications (1)

Publication Number Publication Date
CN112563109A true CN112563109A (zh) 2021-03-26

Family

ID=74882237

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010874983.7A Pending CN112563109A (zh) 2019-09-25 2020-08-27 基板处理装置、以及半导体器件的制造方法

Country Status (5)

Country Link
US (1) US20210090861A1 (zh)
JP (1) JP6937806B2 (zh)
KR (1) KR102393868B1 (zh)
CN (1) CN112563109A (zh)
TW (1) TWI754364B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023231754A1 (zh) * 2022-05-31 2023-12-07 北京北方华创微电子装备有限公司 半导体工艺设备

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101424950A (zh) * 2007-11-02 2009-05-06 东京毅力科创株式会社 被处理基板温度调节装置和调节方法及等离子体处理装置
CN101826450A (zh) * 2009-03-04 2010-09-08 株式会社日立国际电气 衬底处理装置以及半导体装置的制造方法
US20100300357A1 (en) * 2009-05-29 2010-12-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
JP2015092637A (ja) * 2015-02-12 2015-05-14 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
TWI511623B (zh) * 2011-09-09 2015-12-01 Toshiba Mitsubishi Elec Inc 電漿產生裝置及cvd裝置
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
CN107393800A (zh) * 2016-05-31 2017-11-24 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US317272A (en) * 1885-05-05 Rail-joint
US4799451A (en) * 1987-02-20 1989-01-24 Asm America, Inc. Electrode boat apparatus for processing semiconductor wafers or the like
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
WO2005083766A1 (ja) * 2004-02-27 2005-09-09 Hitachi Kokusai Electric Inc. 基板処理装置
JP2006041443A (ja) * 2004-07-30 2006-02-09 Sharp Corp プラズマプロセス装置および電子デバイスの製造方法
JP4654247B2 (ja) * 2005-11-10 2011-03-16 株式会社日立国際電気 基板処理装置
WO2007058120A1 (ja) * 2005-11-18 2007-05-24 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP4828599B2 (ja) * 2006-05-01 2011-11-30 株式会社日立国際電気 基板処理装置
JP4936129B2 (ja) * 2006-07-12 2012-05-23 富士電機株式会社 プラズマ処理装置
JP2008181753A (ja) * 2007-01-24 2008-08-07 Sharp Corp 燃料電池用電極、膜電極接合体および燃料電池
JP2009253013A (ja) * 2008-04-07 2009-10-29 Hitachi Kokusai Electric Inc 基板処理装置
JP2010010570A (ja) * 2008-06-30 2010-01-14 Fujitsu Microelectronics Ltd 半導体製造装置
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2010141223A (ja) * 2008-12-15 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5743488B2 (ja) 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2012167317A (ja) * 2011-02-14 2012-09-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置
JP6016542B2 (ja) * 2012-09-13 2016-10-26 株式会社日立国際電気 反応管、基板処理装置、及び半導体装置の製造方法
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US10763082B2 (en) * 2016-03-04 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber of plasma system, liner for plasma system and method for installing liner to plasma system

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101424950A (zh) * 2007-11-02 2009-05-06 东京毅力科创株式会社 被处理基板温度调节装置和调节方法及等离子体处理装置
CN101826450A (zh) * 2009-03-04 2010-09-08 株式会社日立国际电气 衬底处理装置以及半导体装置的制造方法
US20100300357A1 (en) * 2009-05-29 2010-12-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
TWI511623B (zh) * 2011-09-09 2015-12-01 Toshiba Mitsubishi Elec Inc 電漿產生裝置及cvd裝置
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
JP2015092637A (ja) * 2015-02-12 2015-05-14 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN107393800A (zh) * 2016-05-31 2017-11-24 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023231754A1 (zh) * 2022-05-31 2023-12-07 北京北方华创微电子装备有限公司 半导体工艺设备

Also Published As

Publication number Publication date
US20210090861A1 (en) 2021-03-25
TW202123336A (zh) 2021-06-16
KR102393868B1 (ko) 2022-05-03
JP2021052086A (ja) 2021-04-01
KR20210036271A (ko) 2021-04-02
TWI754364B (zh) 2022-02-01
JP6937806B2 (ja) 2021-09-22

Similar Documents

Publication Publication Date Title
KR100841866B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
KR100817644B1 (ko) 기판 처리 장치
KR100909750B1 (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
US20120122319A1 (en) Coating method for coating reaction tube prior to film forming process
US20070292974A1 (en) Substrate Processing Method and Substrate Processing Apparatus
JP2008202107A (ja) 基板処理装置
JP2006188729A (ja) 基板処理装置
KR101040992B1 (ko) 기판 처리 장치
JP5882509B2 (ja) 基板処理装置および半導体装置の製造方法
JP5208294B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
US20090074984A1 (en) Substrate processing apparatus and coating method
JP5718031B2 (ja) 基板処理装置および半導体装置の製造方法
CN112563109A (zh) 基板处理装置、以及半导体器件的制造方法
EP1030352A2 (en) Method and apparatus for forming materials layers from atomic gases
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
KR101579504B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
CN113518836A (zh) 半导体装置的制造方法、程序和基板处理装置
JP5888820B2 (ja) 基板処理装置、クリーニング方法及び半導体装置の製造方法
JP2016106415A (ja) 基板処理装置および半導体装置の製造方法
KR20200007099A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램, 플라스마 생성부, 플라스마 생성 방법, 전극 및 반응관
JP2004039795A (ja) 基板処理装置
JP2012049349A (ja) 基板処理装置
CN110942976A (zh) 半导体器件的制造方法及衬底处理装置
KR101101163B1 (ko) 기판 처리 장치
JP2005167027A (ja) 基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination