CN112236866A - 用于存储器单元的电极的制造 - Google Patents

用于存储器单元的电极的制造 Download PDF

Info

Publication number
CN112236866A
CN112236866A CN201980037994.5A CN201980037994A CN112236866A CN 112236866 A CN112236866 A CN 112236866A CN 201980037994 A CN201980037994 A CN 201980037994A CN 112236866 A CN112236866 A CN 112236866A
Authority
CN
China
Prior art keywords
layer
electrode
electrode layer
memory cell
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980037994.5A
Other languages
English (en)
Other versions
CN112236866B (zh
Inventor
郑鹏园
永军·J·胡
Y·吉恩
李红旗
A·戈蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN112236866A publication Critical patent/CN112236866A/zh
Application granted granted Critical
Publication of CN112236866B publication Critical patent/CN112236866B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1441Ferroelectric RAM [FeRAM or FRAM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

描述了用于制造存储器单元的方法、系统和装置。电极层在形成之后可具有初始厚度变化。可在形成存储器单元的附加层之前使所述电极层平滑,从而减小所述厚度变化。所制造的后续层的厚度变化可取决于所述电极层的所述厚度变化。通过在形成所述后续层之前减小所述电极层的所述厚度变化,所述后续层也可以具有减小的厚度变化。所述后续层的所述减小的厚度变化可影响由所述后续层形成的存储器单元的电性能。在一些情况下,所述后续层的所述减小的厚度变化可允许此类存储器单元的更可预测的电压阈值,从而增加了所述存储器单元的读窗口。

Description

用于存储器单元的电极的制造
交叉引用
本专利申请要求于2018年6月6日提交的郑(Zheng)等人的题为“用于存储器单元的电极的制造(Fabrication of Electrodes for Memory Cells)”的第16/001,795号美国专利申请的优先权,所述美国专利申请转让给本受让人,并且以全文引用的方式并入本文中。
背景技术
下文大体上涉及制造存储器单元,且更具体地,涉及用于存储器单元的电极的制造。
存储器装置广泛用于将信息存储在例如计算机、无线通信装置、相机、数字显示器等各种电子装置中。通过对存储器装置的不同状态进行编程来存储信息。例如,二进制装置具有两个状态,通常表示为逻辑“1”或逻辑“0”。在其它系统中,可存储超过两个状态。为了存取所存储信息,电子装置的组件可以读取或感测存储器装置中的至少一个所存储状态。为了存储信息,电子装置的组件可以在存储器装置中写入状态或对状态进行编程。
存在各种类型的存储器装置,包含磁性硬盘、随机存取存储器(RAM)、只读存储器(ROM)、动态RAM(DRAM)、同步动态RAM(SDRAM)、铁电RAM(FeRAM)、磁性RAM(MRAM)、电阻式RAM(RRAM)、快闪存储器、相变存储器(PCM)等。存储器装置可为易失性或非易失性的。例如FeRAM的非易失性存储器即使在无外部电源存在的情况下仍可维持所存储逻辑状态很长一段时间。例如DRAM的易失性存储器装置除非被外部电源周期性地刷新,否则可能随时间推移而丢失其所存储状态。FeRAM可使用与易失性存储器类似的装置架构,但归因于使用铁电电容器作为存储装置而可具有非易失性性质。因此,与其它非易失性和易失性存储器装置相比,FeRAM装置可具有改进的性能。
在一些存储器装置中,存储器单元的电性能(例如,存储器单元的一或多个阈值电压)可至少部分地取决于存储器单元的物理尺寸。可能需要用于减小物理尺寸的变化并因此减小与存储器装置相关联的存储器单元的电性能的变化的解决方案。
附图说明
图1A到1C示出根据本公开的实施例的制造技术的实例。
图2A和2B示出根据本公开的实施例的制造技术的实例。
图3到5示出根据本公开的实施例的用于制造存储器单元的方法。
具体实施方式
可至少部分通过形成各种材料的堆叠来形成一些存储器装置(例如,可形成材料的堆叠,且可向所述堆叠应用额外工艺步骤)。在一些情况下,堆叠的各层可以顺序地形成,因此堆叠的形成可以涉及在堆叠的第一先前层之上或顶部形成堆叠的第二层。形成第一层的方法可能导致所述层具有粗糙的表面和相关联的厚度变化。如果堆叠的第二层形成为与不平坦的第一层接触,则第一层的厚度变化可向上传播至下一个第二层,从而也导致第二层的厚度变化。厚度变化可能会影响一层、两层和/或组件的性能。例如,当给定层中的材料暴露于不同的电压(例如,材料或所述层的阈值电压)时,材料性能可取决于所述层的厚度。因此,可能希望最大限度地减小先前层的厚度变化,以最大限度地提高随后层中的厚度均匀性。
根据本文的教导,制造存储器单元可包含在形成下一层之前使先前层平滑(例如抛光)。例如,可以使用使整个层的厚度变化的技术来制造第一电极层。在一些情况下,在形成有源层之前对电极层抛光可以减小电极层中的厚度变化,从而减小有源层中的厚度变化。因为在形成有源层之前对电极层进行了抛光,所以与没有进行中间抛光步骤形成的有源层相比,所得有源层的厚度变化较小。因此,有源层可具有更可预测和更均匀的性能。例如,当每个存储器单元暴露于相同的电压时(例如,由有源层形成的存储器单元可以具有更均匀的阈值电压),有源层可以在多个存储器单元上表现出相似的性能。因此,本文描述的这些和其它制造技术可以改善存储器单元的性能和表现。
下文在图1A、1B、1C和图2A、2B的实例制造技术的上下文中进一步描述上文介绍的本公开的特征。参考图3到5涉及用于存储器单元的电极的制造的流程图进一步示出并描述本公开的这些和其它特征。
图1A-1C是中间存储器阵列结构的示意图,示出了以各个制造阶段描绘的具有平滑电极层的存储器单元堆叠的制造方法。
参考图1A的中间阵列结构100-a,根据一些实例,区105-a可包含用于第一存储器单元堆叠的阵列结构的方面,并且105-b可包含用于第二存储器单元堆叠的阵列结构的方面。在一些情况下,第一存储器单元堆叠和第二存储器单元堆叠可以最终经配置为(例如,制造为)两个不同的存储器单元,并且存储在第一存储器单元中的数据可以独立于存储在第二存储器单元中的数据。虽然仅示出了两个区105-a和105-b,但是所属领域的普通技术人员将理解,实际上可以形成许多区。
在一些情况下,制造存储器单元堆叠可包含在衬底(未示出)上方形成导电材料110。导电材料110可用于形成一或多个存取线,例如,与区105-a和/或区105-b相对应的存储器单元的字线或位线。
方法可另外包含在导电材料110上方形成电极材料115。电极材料115可用于形成一或多个电极(例如,将存取线与存储器单元的有源组件耦合),例如分别对应于区105-a和区105-b的电极。电极材料115可包含碳。在一些情况下,电极材料115可由两个子层(未示出)组成,因此可被称为双层电极,其中第一子层与导电材料110接触,并且第二子层形成于第一子层之上。在这种情况下,第二上部子层可包含碳,并且可被称为碳基材料。电极材料115可以例如通过沉积技术形成,例如物理气相沉积(PVD)、化学气相沉积(CVD)或原子层沉积(ALD)以及其它沉积技术。每一层可初始地形成为覆盖整个裸片或衬底(例如晶片)的表面区域的覆盖层。
在一些实例中,用于形成电极材料115的沉积技术(例如,PVD、CVD或ALD技术)可能导致电极材料115的顶部(例如,暴露的)表面由于例如溅射或相关沉积技术的其它方面而变得不期望地粗糙。电极材料115的顶部表面的粗糙度导致电极材料115的一些部分具有与其它部分不同的厚度。例如,电极材料115的厚度T1可以大于厚度T2,厚度T2可以大于厚度T3,厚度T3可以大于厚度T4。电极材料厚度T1-T4因此可以在单个存储器堆叠区105内或在不同存储器堆叠区105-a和105-b之间变化。也就是说,在一些情况下,区105-a的一部分中的电极材料115的厚度可以大于105-b的另一部分中的电极材料115的厚度(即,T1>T2)。在另一些情况下,一个区105-a中的电极材料115的厚度可以大于不同区105-b中的电极材料115的厚度(即,T1、T2>T3、T4)。
现在参考图1B的中间阵列结构100-b,根据一些实例,所述方法可以包含使电极材料115平滑。平滑工艺可以使电极材料115的上表面平滑,从而减小电极材料115内的厚度变化(并因此还增加厚度均匀性)。因此,平滑工艺可以减小单个存储器堆叠区105内的电极材料115的厚度变化。例如,电极材料115的厚度可以与整个区105-c的厚度T5相同或基本相同,而平滑之前区105-a的电极材料厚度可能已变化更大(即,厚度T1>厚度T2)。平滑工艺还可以减小区105之间的电极材料厚度的变化。例如,区105-c T5的电极材料厚度可以与区105-d的电极材料厚度T6相同或基本相同,而平滑之前区105-a中的电极材料115的厚度大于105-b中的电极材料115的厚度(即,T1、T2>T3、T4)。
平滑工艺可以涉及使用例如化学机械平坦化(CMP)来对电极材料115抛光。在一些情况下,中间阵列结构100-a可以经过CMP工艺以形成中间阵列结构100-b。例如,可以使用CMP对电极材料115的顶部表面抛光以形成中间阵列结构100-b的电极材料层115。抛光工艺可以不改变电极材料层115的整体性质。例如,由于抛光工艺,电极材料层115的相关性质可保持不变。也就是说,电极材料层115在CMP工艺之后当暴露于不同的电压和电流时可以表现出与没有CMP工艺的情况下电极材料层115将表现出的性能相似的性能。在一些实例中,执行CMP可涉及破坏真空密封,所述真空密封可与用于形成电极材料层115的制造工艺(例如,PVD、CVD或ALD工艺)相关联,破坏真空密封可至少持续一段时间将电极材料115的顶部(例如,暴露的)表面暴露于氧气。因此,缺乏真空密封可使得在中间阵列结构100-b的电极材料层115处发生氧化。另外地或替代地,CMP工艺本身可使得在中间阵列结构100-b的电极材料层115处发生氧化。因此,在一些情况下,电极材料层115最终可包括氧化碳。
参考图1C的中间阵列结构100-c,根据一些实例,制造存储器单元堆叠可以另外包含在经抛光电极材料115上方形成有源组件层120。在一些实例中,有源组件层120可以用于形成一或多个选择器组件(例如,选择器二极管)或存储组件。在一些情况下,电极材料层115的氧化可以在电极材料层115的最靠近有源组件层120(例如,与之接触)的表面处或附近局部化或更广泛。
在一些情况下,有源组件层120的厚度均匀性可能是由于电极材料115的抛光所致。也就是说,电极材料115的任何厚度变化都可能导致有源组件层120中的厚度反向变化。例如,如果区105-e中的电极材料115比区105-f中的电极材料115更厚,则区105-e中的有源组件层120可比区105-f中的有源组件层120更薄。
有源组件层120可以由硫族化物材料形成。在使用有源组件层120的硫族化物材料形成一或多个选择器组件的情况下,有源组件层120的硫族化物材料可以保持非晶态,但当硫族化物材料上的电压差低于阈值幅度时可以处于高电阻状态(例如,绝缘状态),并且当硫族化物材料上的电压差处于或高于阈值幅度时可以处于低电阻状态(例如,导电状态)。在这种情况下,阈值幅度可包括有源组件层120的硫族化物材料的开关阈值电压。
在使用有源组件层120的硫族化物材料形成一或多个存储组件的情况下,有源组件层120的硫族化物材料可以在非晶态与结晶态之间转变。在一些情况下,当有源组件层120对比非晶态处于结晶态时,在有源组件层120中可能存在较大的电阻对比。处于结晶态的材料可以具有以周期性结构排列的原子,这可产生相对较低的电阻(例如,设置状态)。相比之下,处于非晶态的材料可不具有或具有相对极少的周期性原子结构,这可具有相对较高的电阻(例如,复位状态)。材料的非晶态与结晶态之间的电阻值差异可能很大;例如,处于非晶态的材料的电阻可以比处于其结晶态的材料的电阻大一或多个数量级。
在使用有源组件层120的硫族化物材料形成一或多个存储组件的一些情况下,为了将有源组件层120的区105设置为低电阻状态,可通过使电流流过区105来加热区105。将有源组件层120的区105加热到升高的温度(但低于其熔化温度)可使有源组件层120的区105结晶并形成低电阻状态。电流可因向区105施加电压而产生,其中所施加电压是基于区105的第一阈值电压。例如,如果区105处于复位状态,则电流可不流过区105,除非所施加电压大于第一阈值电压。
在使用有源组件层120的硫族化物材料形成一或多个存储组件的一些其它情况下,为了将有源组件层120的区105设置为高电阻状态,可以将区105加热到高于其熔化温度。通过将跨有源组件层120的区105的电压(以及因此流过有源组件层120的区105的电流)设置为第二阈值电压(这可使硫族化物材料的温度升高到超过熔化温度),然后足够突然地去除电压/电流(例如,仅在相对短暂的时间段内施加电压/电流,从而不会发生结晶),可以将有源组件层120的区105从结晶态切换到非晶态。
有源组件层120的开关阈值电压当用于形成一或多个选择器组件时,以及对应于有源组件层120的材料的设置状态和复位电压的有源组件层120的第一和第二阈值电压当用于形成一或多个存储组件时,可以取决于有源组件层120的厚度。也就是说,较大的厚度可以对应于较大的阈值电压。另外,有源组件层120的厚度变化可使阈值电压值相应变化。在一些情况下,可能希望整个有源组件层120具有精确的阈值电压。例如,可能希望区105-e内的阈值电压在区105-e内保持一致,并且区105-e内的阈值电压类似于另一区105-f内的阈值电压。也就是说,可能希望有源组件层120的阈值电压的标准偏差较小。在使用有源组件层120的硫族化物材料形成一或多个选择器组件的情况下,具有小的标准偏差的阈值电压可以提供例如针对存储器装置改进可靠性和改进设计公差的益处。在使用有源组件层120的硫族化物材料形成一或多个存储组件的情况下,具有小的标准偏差的阈值电压也可以提供例如针对存储器装置改进可靠性和改进设计公差的益处,包含在第一阈值电压与第二电压之间的更大或更可靠的大窗口(其可以例如对应于包含区105的存储器单元的读或写窗口)。
图2A-2B是中间存储器阵列结构的示意图,示出了以各个制造阶段描绘的具有平滑电极层的存储器单元堆叠的制造方法。图2A-2B所示的存储器阵列结构可以对应于参考图1A-1C描述的后续已通过附加制造步骤处理的存储器阵列结构。例如,图1A-1C的导电材料110可以对应于图2A和2B的导电材料210。此外,图1A-1C的电极材料115可以对应于图2A和2B的电极材料215。
参考图2A的中间阵列结构200-a,根据一些实例,制造存储器单元堆叠可以另外包含在第一有源组件层220上方形成第二电极材料225。在一些情况下,第二电极材料225可以是碳基材料。可以使用与第一电极材料215类似的技术(例如,PVD、CVD、ALD)来形成第二电极材料225。第二电极材料225的形成技术可以也可以不产生类似于在图1A的中间阵列结构100-a中看到的电极材料115的厚度变化的厚度变化。也就是说,在一些情况下,第二电极材料225在初始形成时的厚度可以在单个区105内或在区之间变化,例如在区105-g与105-h(可以分别对应于如参考图1A-1C描述的区105-a和105-b)之间变化。
制造中间阵列结构200-a可包含例如使用CMP对电极材料225抛光的附加步骤,以实现更均匀的厚度。在这种情况下,电极材料225可变得包含氧化碳,因为在真空环境外部对中间阵列结构200-a抛光可将第二电极材料225的顶部暴露于氧气和/或抛光工艺本身可引入氧化。在一些其它情况下,制造存储器单元堆叠可不包含第二电极材料225的抛光。在这种情况下,第二电极材料225可不包含氧化碳。
参考图2B的中间阵列结构200-b,根据一些实例,制造存储器单元堆叠可另外包含在第二电极材料225上方形成第二有源组件层230。第二有源组件层230的厚度可以基于第二电极材料225的厚度变化。例如,如果区105-i中的电极材料比区105-j中的电极材料厚,则区105-i中的第二有源组件层230可更薄而区105-j中的第二有源组件层230可更厚。替代地,如果第二电极材料225的厚度在区105上是均匀的,则第二有源组件层230的厚度在区105上也可以是均匀的。
在一些实例中,第二有源组件层230可包含单元材料,以形成例如用于存储器单元的一或多个存储组件或选择器组件。第二有源组件层230可以由硫族化物材料形成。在一些情况下,第二有源组件层230可包含与图2A所示的有源组件层220相同的硫族化物材料。在一些其它实例中,第二有源组件层230可包含与有源组件层220不同的硫族化物材料(例如,可以具有不同的化学计量)。
仍然参考图2B,根据一些实例,制造存储器单元堆叠可另外包含在第二有源组件层230上方形成第三电极材料235。可以使用与用于形成电极材料215和225的方法类似的技术(例如,PVD、CVD、ALD)来形成第三电极材料235。在一些情况下,电极材料235的形成技术产生的厚度变化和表面粗糙度可类似于图1A中的电极材料115的厚度变化和表面粗糙度。制造中间阵列结构200-b可以可选地包含对第三电极材料235抛光以减小厚度变化,从而减小第三电极材料235的表面粗糙度。在对第三电极材料235抛光的情况下,第三电极材料235可包含氧化碳,其是由于在非真空环境中对中间阵列结构200-b抛光而产生或者由于与破坏真空密封相关联的氧气暴露或由于抛光工艺本身造成。在一些其它情况下,制造存储器单元堆叠可不包含对第三电极材料235抛光。在这种情况下,第三电极材料235可不包含氧化碳。因此,根据本文描述的技术制造的存储器装置可包含包括碳的层(例如,碳电极层),并且此类碳基层的全部或任何子集可以表现出氧化。此外,此类氧化可以在抛光表面处或附近局部化或更广泛,所述抛光表面也可以是与抛光或其它平滑工艺有关的暴露于氧气的表面。
再次参考图2B,制造中间阵列结构200-b可包含在第三电极材料235上方形成第二导电材料240。第二导电材料240可以用于形成一或多个存取线,例如用于与区105-g和/或区105-h相对应的存储器单元的位线或字线。
在一些情况下,形成方法可以可选地包含蚀刻层220、225、230和235中的区105-i与105-j之间的空间。这可以在区105-i与105-j中形成不同的存储器单元。当然,在区105-i与105-j之间的空间未被蚀刻的情况下,两个区105仍可以形成不同的存储器单元。例如,在区105-i中施加到有源组件230的电压可能不会充分传播通过有源组件230的材料,从而干扰(例如破坏)区105-j中存储的逻辑状态。
另外,在一些实例中,可以省略第二电极层(包括第二电极材料225)和第二有源组件层230,并且可以将有源组件层120配置为用于自选存储器单元的存储元件。
在一些情况下,可以在制造附加层(例如,电极材料115或215)之前使导电材料110或210平滑。使导电材料110和/或210的平滑可以减小导电材料的厚度变化,从而使其上随后形成的任何层(例如包括电极材料115或215的层)的厚度变化相应减小。此外,在一些其它情况下,可以在其上制造附加层之前(例如,在制造第二电极层225之前和/或在制造第三电极层235之前)使有源组件层120或有源组件层230中的一或多者平滑。有源组件层120和/或有源组件层230的附加表面的这种附加的平滑(例如,上表面,由于平滑紧邻的下层而使下表面平滑)可以进一步减小区105内或跨区105的有源组件层的厚度变化,因此可以进一步减小区105内或跨区105的有源组件层的一或多个阈值电压(例如,用于设置或复位)的变化。有源组件层120或有源组件层230的表面的平滑包括CMP工艺的应用,取决于CMP工艺的细节,可能发生有源组件层的污染(例如,化学污染),这可相对于厚度均匀性的略有增加呈现折衷。
尽管为了清楚和便于说明而未示出,但是将理解,所示出的阵列结构可以形成于其它层之上或之下(例如,在衬底之上),所述其它层可包含尤其各种外围和支撑电路系统,例如,形成列和行驱动器电路系统和读出放大器电路系统的一部分的互补金属氧化物半导体(CMOS)晶体管,以及通过上述列和行将此类电路系统连接到存储器阵列的插座和布线。另外,其它层可包含一或多个存储器阵列或阵列“层面”—图1A、1B、1C和2A、2B的实例中示出的结构可以对应于一个层面的存储器阵列,并且可以在任何数目的附加层面的存储器阵列之上或之下。
图3示出的流程图说明根据本公开的实施例的制造用于存储器单元的电极的方法300。可根据如本文所描述的各种制造技术来实现方法300的操作。例如,可以通过参考图1和2讨论的制造技术来实现方法300的操作。
在305,可以形成用于存取线的金属层。可以根据本文描述的方法来执行305的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行305的操作的各方面。
在310,可以在金属层上方形成用于存储器单元的电极层。在一些实例中,电极层的表面具有初始表面粗糙度。在一些实例中,可以通过经由沉积工艺沉积电极材料来形成电极层。可以根据本文描述的方法来执行310的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行310的操作的各方面。
在315,可以对电极层的表面抛光。在一些实例中,抛光可将表面从具有初始表面粗糙度改变为具有小于初始表面粗糙度的后续表面粗糙度。在某些实例中,可以通过对电极层的表面施加CMP工艺来完成抛光。在一些情况下,对电极层的表面抛光可包含与沉积工艺相关联的破坏真空密封。可以根据本文描述的方法来执行315的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行315的操作的各方面。
在320,可以在抛光之后形成有源层。在一些实例中,有源层可以与电极层的表面接触。有源层的厚度的均匀性可以基于后续表面粗糙度。可以根据本文描述的方法来执行320的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行320的操作的各方面。
在一些实例中,设备可以使用通用或专用硬件来执行上文描述的制造的各方面。所述设备可包含用于形成存取线的金属层的特征、装置或指令。所述设备可以进一步包含用于在金属层上方形成用于存储器单元的电极层的特征、装置或指令,其中电极层的表面具有初始表面粗糙度。所述设备还可包含用于对电极层的表面抛光以将表面从具有初始表面粗糙度改变为具有小于初始表面粗糙度的后续表面粗糙度的特征、装置或指令。所述设备可另外包含在抛光之后形成与电极层的表面接触的有源层的特征、装置或指令,其中有源层的厚度的均匀性是基于后续表面粗糙度。
在上述方法和设备的一些实例中,对电极层的表面抛光可包含对电极层的表面施加CMP工艺。在所述方法和设备的一些实例中,形成电极层可包含经由沉积工艺来沉积电极材料。在一些情况下,对电极层的表面抛光可包含与沉积工艺相关联的破坏真空密封。
上述方法和设备的一些实例可进一步包含用于在有源层上方形成用于存储器单元的第二电极层的工艺、特征、装置或指令。上述方法和设备的一些实例可进一步包含用于在第二电极层上方形成第二有源层的工艺、特征、装置或指令。上述方法和设备的一些实例可进一步包含用于在形成第二有源层之前对第二电极层的表面抛光以将第二电极层的表面从具有第二初始表面粗糙度改变为具有小于第二初始表面粗糙度的第二后续表面粗糙度的工艺、特征、装置或指令。
上述方法和设备的一些实例可进一步包含用于在形成第二电极层之前对有源层的表面抛光的工艺、特征、装置或指令。上述方法和设备的一些实例可进一步包含用于对第二有源层的表面抛光的工艺、特征、装置或指令。在上述方法和设备的一些实例中,用于存储器单元的存储组件包括第二有源层的至少一部分。在上述方法和设备的一些实例中,有源层可包含第一硫族化物材料。在一些实例中,第二有源层可包含第二硫族化物材料,第二硫族化物材料不同于第一硫族化物材料。在上述方法和设备的一些实例中,电极层和第二电极层均包括碳。
上述方法和设备的一些实例可进一步包含用于在第二有源层上方形成用于存储器单元的第三电极层的工艺、特征、装置或指令。上述方法和设备的一些实例可进一步包含用于形成存储器单元的第二存取线的第二金属层的工艺、特征、装置或指令,第二金属层在第三电极层上方。上述方法和设备的一些实例可进一步包含用于在形成第二金属层之前对第三电极层的表面抛光的工艺、特征、装置或指令。
图4示出的流程图说明根据本公开的实施例的制造用于存储器单元的电极的方法400。可根据如本文所描述的各种制造技术来实现方法400的操作。例如,可以通过参考图1和2讨论的制造技术来实现方法400的操作。
在405,可以形成用于存取线的金属层。可以根据本文描述的方法来执行405的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行405的操作的各方面。
在410,可以在金属层上方形成用于存储器单元的电极层。在一些实例中,电极层的表面具有初始表面粗糙度。可以根据本文描述的方法来执行410的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行410的操作的各方面。
在415,可以对电极层的表面抛光。在一些实例中,抛光可将表面从具有初始表面粗糙度改变为具有小于初始表面粗糙度的后续表面粗糙度。在某些实例中,可以通过对电极层的表面施加CMP工艺来完成抛光。可以根据本文描述的方法来执行415的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行415的操作的各方面。
在420,可以在抛光之后形成有源层。在一些实例中,有源层可以与电极层的表面接触。有源层的厚度的均匀性可以基于后续表面粗糙度。可以根据本文描述的方法来执行420的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行420的操作的各方面。
在425,可以在有源层上方形成用于存储器单元的第二电极层。可以根据本文描述的方法来执行425的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行425的操作的各方面。
在430,可以在形成第二有源层之前对第二电极层的表面抛光。在一些实例中,对第二电极层的表面抛光可以将第二电极层的表面从第二初始表面粗糙度改变为小于第二初始表面粗糙度的第二后续表面粗糙度。可以根据本文描述的方法来执行430的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行430的操作的各方面。
在435,可以在第二电极层上方形成第二有源层。可以根据本文描述的方法来执行435的操作。在某些实例中,可以使用参考图1和2讨论的制造技术来执行435的操作的各方面。
图5示出的流程图说明根据本公开的实施例的制造用于存储器单元的电极的方法500。可根据如本文所描述的各种制造技术来实现方法500的操作。例如,可以通过参考图1A、1B、1C和2A、2B讨论的制造技术来实现方法500的操作。
在505,可以形成用于存取线的金属层。可以根据本文描述的方法来执行505的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行505的操作的各方面。
在510,可以在金属层上方形成包括碳的第一电极层。在一些情况下,第一电极层可以用于存储器单元。在一些实例中,形成第一电极层可包含经由沉积工艺沉积电极材料。可以根据本文描述的方法来执行510的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行510的操作的各方面。
在515,可以减小第一电极层的上表面的表面粗糙度。在一些实例中,可以通过对第一电极层的上表面施加CMP工艺来减小上表面粗糙度。在一些其它实例中,对第一电极层的上表面施加CMP工艺可包含与沉积工艺相关联的破坏真空密封。可以根据本文描述的方法来执行515的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行515的操作的各方面。
在520,可以在施加CMP工艺之后形成与第一电极层的上表面接触的硫族化物层。可以根据本文描述的方法来执行520的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行520的操作的各方面。
在525,可以在硫族化物层上方形成包括碳的第二电极层。在一些实例中,第二电极层可以用于存储器单元。可以根据本文描述的方法来执行525的操作。在某些实例中,可以使用参考图1A、1B、1C和2A、2B讨论的制造技术来执行525的操作的各方面。
在一些实例中,设备可以使用通用或专用硬件来执行所描述的制造的各方面。所述设备可包含用于形成用于存取线的金属层以及在金属层上方形成用于存储器单元的包括碳的第一电极层的特征、装置或指令。所述设备可包含用于通过对第一电极层的上表面施加CMP工艺来减小第一电极层的上表面的表面粗糙度的特征、装置或指令。所述设备可包含用于在施加CMP工艺之后形成与第一电极层的上表面接触的硫族化物层以及在硫族化物层上方形成用于存储器单元的包括碳的第二电极层的特征、装置或指令。
上述方法和设备的一些实例可进一步包含用于通过对第二电极层的上表面施加第二CMP工艺来减小第二电极层的上表面的表面粗糙度的工艺、特征、装置或指令。上述方法和设备的一些实例可进一步包含用于形成与第二电极层的上表面接触的第二硫族化物层的工艺、特征、装置或指令,其中第二硫族化物层的厚度可以基于减小第二电极层的上表面的表面粗糙度。上述方法和设备的一些实例可进一步包含用于形成与第二电极层的上表面接触的第二硫族化物层的工艺、特征、装置或指令,其中第二硫族化物层的厚度可以基于第二电极层的上表面的初始表面粗糙度。
应注意,上文描述的方法描述了可能的实施方案,且操作和步骤可以重新布置或以其它方式加以修改,且其它实施方案是可能的。此外,可组合来自两个或更多个方法的实施例。
在一些情况下,根据如本文描述的各种制造技术制造的装置、系统或设备可包含用于存储器单元的第一存取线、用于存储器单元的第一电极、安置于第一存取线上方且包括氧化碳的第一电极,以及用于存储器单元的有源组件,所述有源组件与第一电极接触且包括硫族化物。
在上述装置、系统或设备的一些实例中,氧化碳可以至少部分地基于与第一电极相关联的CMP工艺而被氧化。在一些情况下,氧化碳可以至少部分地基于与CMP工艺相关联的破坏真空密封或至少部分地基于CMP工艺自身而被氧化。在上述装置、系统或设备的一些实例中,用于存储器单元的有源组件可包含存储器单元的选择组件、存储组件或其组合。
在一些实例中,装置、系统或设备可进一步包含用于存储器单元的第二电极。装置、系统或设备还可包含用于存储器单元的第二有源组件,其中第二有源组件可以与第二电极接触且可包括硫族化物。在一些实例中,第一电极可具有与有源组件接触的第一表面,所述第一表面具有第一粗糙度。此外,第二电极可包含与第二有源组件接触的第二表面,其中第二表面具有可大于第一粗糙度的第二粗糙度。
在上述装置、系统或设备的某些情况下,有源组件可包括第一硫族化物材料。在一些实例中,第二有源组件可包括第二硫族化物材料,其中第二硫族化物材料可与第一硫族化物材料不同。在一些其它实例中,有源组件和第二有源组件可包括相同的硫族化物材料。在一些实例中,第二电极可包含氧化碳。在一些情况下,第一电极包括两个子层,其中与有源组件接触的子层可包括碳。
在一些情况下,上述装置、系统或设备可包含用于存储器单元的第三电极,所述第三电极与第二有源组件接触。所述装置、系统或设备可进一步包含用于存储器单元的第二存取线。在一些实例中,第三电极可包含氧化碳。
术语“耦合”是指支持组件之间的电子流的组件之间的关系。这可包含组件之间的直接连接或可包含中间组件。彼此电子连通或耦合的组件可以主动地交换电子或信号(例如,在通电电路中),也可以不主动地交换电子或信号(例如,在断电电路中),但可经配置且可操作以在电路通电时交换电子或信号。作为实例,经由开关(例如,晶体管)物理连接的两个组件可以耦合,而与开关的状态(即,断开或闭合)无关。
本文中使用的术语“层”是指几何结构的层或片。每一层可以具有三个维度(例如,高度、宽度和深度),并且可以覆盖表面中的一些或全部。例如,层可以是三维结构,其中两个维度大于第三维度,例如薄膜。层可以包含不同元件、组件和/或材料。在一些情况下,一层可以由两个或更多个子层构成。在一些附图中,出于说明的目的而描绘三维层中的两个维度。然而,所属领域的技术人员将认识到,层在本质上为三维的。
如本文所使用,术语“基本上”是指经修饰特征(例如由术语基本上修饰的动词或形容词)不必是绝对的但要足够接近以便获得特征的优点。
如本文所使用,术语“电极”可指电导体,且在一些情况下,可用作到存储器单元或存储器阵列的其它组件的电接点。电极可包含提供存储器阵列的元件或组件之间的导电路径的迹线、电线、导电线、导电层等。
硫族化物材料可以是包含元素S、Se和Te中的至少一者的材料或合金。本文中论述的相变材料可以是硫族化物材料。硫族化物材料可包含S、Se、Te、Ge、As、Al、Sb、Au、铟(In)、镓(Ga)、锡(Sn)、铋(Bi)、钯(Pd)、钴(Co)、氧(O)、银(Ag)、镍(Ni)、铂(Pt)的合金。实例硫族化物材料和合金可包含(但不限于)Ge-Te、In-Se、Sb-Te、Ga-Sb、In-Sb、As-Te、Al-Te、Ge-Sb-Te、Te-Ge-As、In-Sb-Te、Te-Sn-Se、Ge-Se-Ga、Bi-Se-Sb、Ga-Se-Te、Sn-Sb-Te、In-Sb-Ge、Te-Ge-Sb-S、Te-Ge-Sn-O、Te-Ge-Sn-Au、Pd-Te-Ge-Sn、In-Se-Ti-Co、Ge-Sb-Te-Pd、Ge-Sb-Te-Co、Sb-Te-Bi-Se、Ag-In-Sb-Te、Ge-Sb-Se-Te、Ge-Sn-Sb-Te、Ge-Te-Sn-Ni、Ge-Te-Sn-Pd或Ge-Te-Sn-Pt。如本文所使用的加连字符的化学组合物符号指示特定化合物或合金中包含的元素,并且旨在表示涉及所指示元素的所有化学计算量。例如,Ge-Te可包含GexTey,其中x和y可以是任何正整数。可变电阻材料的其它实例可包含二元金属氧化物材料或混合价氧化物,包含两种或两种以上金属,例如过渡金属、碱土金属和/或稀土金属。实施例不限于与存储器单元的存储器元件相关联的一或多种特定可变电阻材料。举例来说,可变电阻材料的其它实例可用以形成存储器单元并可包含硫族化物材料、庞磁阻材料、或聚合物基材料等等。
本文讨论的装置可以形成于半导体衬底上,例如硅、锗、硅锗合金、砷化镓、氮化镓等。在一些情况下,衬底是半导体晶片。在其它情况下,衬底可以是绝缘体上硅(SOI)衬底,例如玻璃上硅(SOG)或蓝宝石上硅(SOP),或另一衬底上的半导体材料的外延层。可以通过使用包含但不限于磷、硼或砷的各种化学物种的掺杂来控制衬底或衬底的子区的导电性。可以在衬底的初始形成或生长期间,通过离子植入或通过任何其它掺杂方法来执行掺杂。
本文中结合附图阐述的描述内容描述了实例配置,且并不表示可以实施的或在权利要求书的范围内的所有实例。出于提供对所描述的技术的理解的目的,具体实施方式包含特定细节。然而,可以在没有这些具特定细节的情况下实践这些技术。在一些情况下,以框图的形式展示众所周知的结构和装置以便避免混淆所描述实例的概念。
在附图中,类似组件或特征可以具有相同参考标记。另外,可通过在参考标记之后跟着短划线和在类似组件当中进行区分的第二标记来区分相同类型的各种组件。若在说明书中仅使用第一附图标记,则描述适用于具有相同第一附图标记而与第二附图标记无关的类似组件中的任一者。
并且,如本文中所使用,包含在权利要求书中,项目的列表(例如,以例如“中的至少一者”或“中的一或多者”的短语开始的项目的列表)中所使用的“或”指示包含性列表,使得(例如)A、B或C中的至少一者的列表意指A或B或C或AB或AC或BC或ABC(即,A和B和C)。另外,如本文中所使用,词组“基于”不应被解释为对一组封闭条件的引用。例如,在不脱离本公开的范围的情况下,描述为“基于条件A”的示范性步骤可以基于条件A和条件B两者。换句话说,如本文中所使用,短语“基于”应同样地解释为短语“至少部分地基于”。
提供本文的描述是为了使所属领域的技术人员能够制作或使用本公开。所属领域的技术人员将易于了解对本公开的各种修改,且本文中界定的一般原理可应用于其它变体而不脱离本公开的范围。因此,本公开不限于本文描述的实例和设计,而是被赋予与本文公开的原理和新颖特征一致的最宽范围。

Claims (25)

1.一种设备,其包括:
用于存储器单元的第一存取线;
用于所述存储器单元的第一电极,所述第一电极安置于所述第一存取线上方并且包括氧化碳;以及
用于所述存储器单元的有源组件,所述有源组件与所述第一电极接触并且包括硫族化物。
2.根据权利要求1所述的设备,其中所述氧化碳至少部分地基于与所述第一电极相关联的化学机械平坦化CMP工艺而被氧化。
3.根据权利要求2所述的设备,其中所述氧化碳至少部分地基于与所述CMP工艺相关联地破坏真空密封而被氧化。
4.根据权利要求1所述的设备,其中所述用于所述存储器单元的有源组件包括用于所述存储器单元的选择组件、存储组件或其组合。
5.根据权利要求1所述的设备,其进一步包括:
用于所述存储器单元的第二电极;以及
用于所述存储器单元的第二有源组件,所述第二有源组件与所述第二电极接触并且包括硫族化物。
6.根据权利要求5所述的设备,其中:
所述第一电极包括与所述有源组件接触的第一表面,所述第一表面具有第一粗糙度;并且
所述第二电极包括与所述第二有源组件接触的第二表面,所述第二表面具有大于所述第一粗糙度的第二粗糙度。
7.根据权利要求5所述的设备,其中:
所述有源组件包括第一硫族化物材料;并且
所述第二有源组件包括第二硫族化物材料,所述第二硫族化物材料不同于所述第一硫族化物材料。
8.根据权利要求5所述的设备,其中所述有源组件和所述第二有源组件包括相同的硫族化物材料。
9.根据权利要求5所述的设备,其中所述第二电极包括氧化碳。
10.根据权利要求5所述的设备,其进一步包括:
用于所述存储器单元的第三电极,所述第三电极与所述第二有源组件接触;以及
用于所述存储器单元的第二存取线。
11.根据权利要求10所述的设备,其中所述第三电极包括氧化碳。
12.根据权利要求1所述的设备,其中所述第一电极包括两个子层,并且与所述有源组件接触的子层包括碳。
13.一种方法,其包括:
形成用于存取线的金属层;
在所述金属层上方形成用于存储器单元的电极层,其中所述电极层的表面具有初始表面粗糙度;
对所述电极层的所述表面抛光,以将所述表面从具有所述初始表面粗糙度改变为具有小于所述初始表面粗糙度的后续表面粗糙度;以及
在所述抛光之后,形成与所述电极层的所述表面接触的有源层,其中所述有源层的厚度均匀性至少部分地基于所述后续表面粗糙度。
14.根据权利要求13所述的方法,其中对所述电极层的所述表面抛光包括:
对所述电极层的所述表面施加化学机械平坦化CMP工艺。
15.根据权利要求13所述的方法,其中:
形成所述电极层包括经由沉积工艺沉积电极材料;并且
对所述电极层的所述表面抛光包括与所述沉积工艺相关联地破坏真空密封。
16.根据权利要求13所述的方法,其进一步包括:
在所述有源层上方形成用于所述存储器单元的第二电极层;以及
在所述第二电极层上方形成第二有源层。
17.根据权利要求16所述的方法,其进一步包括:
在形成所述第二有源层之前对所述第二电极层的表面抛光,以将所述第二电极层的所述表面从第二初始表面粗糙度改变为小于所述第二初始表面粗糙度的第二后续表面粗糙度。
18.根据权利要求16所述的方法,其进一步包括:
在形成所述第二电极层之前,对所述有源层的表面抛光;或
对所述第二有源层的表面抛光。
19.根据权利要求16所述的方法,其中用于所述存储器单元的存储组件包括所述第二有源层的至少一部分。
20.根据权利要求16所述的方法,其中:
所述有源层包括第一硫族化物材料;
所述第二有源层包括第二硫族化物材料,所述第二硫族化物材料不同于所述第一硫族化物材料;并且
所述电极层和所述第二电极层各自包括碳。
21.根据权利要求16所述的方法,其进一步包括:
在所述第二有源层上方形成用于所述存储器单元的第三电极层;
形成用于所述存储器单元的第二存取线的第二金属层,所述第二金属层在所述第三电极层上方;以及
在形成所述第二金属层之前,对所述第三电极层的表面抛光。
22.一种方法,其包括:
形成用于存取线的金属层;
在所述金属层上方形成用于存储器单元的包括碳的第一电极层;
通过对所述第一电极层的上表面施加化学机械平坦化CMP工艺来减小所述第一电极层的所述上表面的表面粗糙度;
在施加所述CMP工艺之后,形成与所述第一电极层的所述上表面接触的硫族化物层;以及
在所述硫族化物层上方形成用于所述存储器单元的包括碳的第二电极层。
23.根据权利要求22所述的方法,其中:
形成所述第一电极层包括经由沉积工艺沉积电极材料;并且
对所述第一电极层的所述上表面施加所述CMP工艺包括与所述沉积工艺相关联的破坏真空密封。
24.根据权利要求22所述的方法,其进一步包括:
通过对所述第二电极层的上表面施加第二CMP工艺来降低所述第二电极层的所述上表面的表面粗糙度;以及
形成与所述第二电极层的所述上表面接触的第二硫族化物层,其中所述第二硫族化物层的厚度至少部分地基于减小所述第二电极层的所述上表面的所述表面粗糙度。
25.根据权利要求22所述的方法,其进一步包括:
形成与所述第二电极层的所述上表面接触的第二硫族化物层,其中所述第二硫族化物层的厚度至少部分地基于所述第二电极层的所述上表面的初始表面粗糙度。
CN201980037994.5A 2018-06-06 2019-05-20 用于存储器单元的电极的制造 Active CN112236866B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/001,795 2018-06-06
US16/001,795 US10825987B2 (en) 2018-06-06 2018-06-06 Fabrication of electrodes for memory cells
PCT/US2019/033062 WO2019236273A1 (en) 2018-06-06 2019-05-20 Fabrication of electrodes for memory cells

Publications (2)

Publication Number Publication Date
CN112236866A true CN112236866A (zh) 2021-01-15
CN112236866B CN112236866B (zh) 2024-05-07

Family

ID=68763602

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980037994.5A Active CN112236866B (zh) 2018-06-06 2019-05-20 用于存储器单元的电极的制造

Country Status (6)

Country Link
US (2) US10825987B2 (zh)
EP (1) EP3815148A4 (zh)
JP (1) JP7166363B2 (zh)
KR (1) KR102477451B1 (zh)
CN (1) CN112236866B (zh)
WO (1) WO2019236273A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210112178A (ko) * 2020-03-04 2021-09-14 에스케이하이닉스 주식회사 전자 장치 및 전자 장치의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258586A (ja) * 2006-03-24 2007-10-04 Tokyo Electron Ltd 半導体装置の製造方法及びプラズマ処理装置並びに記憶媒体
US20080210923A1 (en) * 2006-08-25 2008-09-04 Elpida Memory, Inc. Semiconductor device and method of manufacturing the same
CN102593350A (zh) * 2011-01-18 2012-07-18 中国科学院上海微系统与信息技术研究所 相变存储单元及其制作方法
US20150243885A1 (en) * 2014-02-25 2015-08-27 Micron Technology, Inc. Cross-point memory and methods for fabrication of same

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015977A (en) * 1997-01-28 2000-01-18 Micron Technology, Inc. Integrated circuit memory cell having a small active area and method of forming same
US6727140B2 (en) * 2001-07-11 2004-04-27 Micron Technology, Inc. Capacitor with high dielectric constant materials and method of making
KR20080023013A (ko) 2006-09-08 2008-03-12 삼성전자주식회사 산화물을 함유하는 하부 전극을 갖는 상변화 기억 셀의제조 방법
WO2008075412A1 (ja) * 2006-12-19 2008-06-26 Fujitsu Limited 抵抗変化素子及びその製造方法
US7719882B2 (en) * 2007-02-06 2010-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced MRAM design
US7701750B2 (en) * 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
JP5198146B2 (ja) * 2008-05-22 2013-05-15 株式会社東芝 不揮発性記憶装置
US20100221896A1 (en) * 2008-05-28 2010-09-02 Regino Sandoval Electrical Device with Improved Electrode Surface
KR20100006680A (ko) 2008-07-10 2010-01-21 주식회사 하이닉스반도체 하부 전극 콘택 구조를 포함하는 상변화 메모리 소자 및 그제조 방법
KR200453501Y1 (ko) 2008-12-22 2011-05-09 주식회사 엔씨엘이디 광고간판용 엘이디모듈
KR20100101379A (ko) * 2009-03-09 2010-09-17 삼성전자주식회사 상변화 물질의 화학 기계적 연마 방법, 및 이를 이용한 상변화 메모리 소자 제조 방법
JP5232935B2 (ja) * 2010-06-21 2013-07-10 パナソニック株式会社 抵抗変化素子の製造方法
US9054295B2 (en) * 2011-08-23 2015-06-09 Micron Technology, Inc. Phase change memory cells including nitrogenated carbon materials, methods of forming the same, and phase change memory devices including nitrogenated carbon materials
JP5665707B2 (ja) * 2011-09-21 2015-02-04 株式会社東芝 磁気抵抗効果素子、磁気メモリ及び磁気抵抗効果素子の製造方法
KR20130081599A (ko) 2012-01-09 2013-07-17 에스케이하이닉스 주식회사 연마 조성물 및 이를 이용한 화학기계적 평탄화 방법
JP5826779B2 (ja) * 2013-02-27 2015-12-02 株式会社東芝 不揮発性半導体記憶装置
US9343317B2 (en) * 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
KR102157360B1 (ko) 2014-02-03 2020-09-17 삼성전자 주식회사 메모리 소자 및 메모리 셀 어레이
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9716225B2 (en) 2014-09-03 2017-07-25 Micron Technology, Inc. Memory cells including dielectric materials, memory devices including the memory cells, and methods of forming same
US9634245B2 (en) 2015-01-09 2017-04-25 Micron Technology, Inc. Structures incorporating and methods of forming metal lines including carbon
KR102446863B1 (ko) * 2016-02-22 2022-09-23 삼성전자주식회사 메모리 소자 및 그 제조방법
KR102578481B1 (ko) * 2016-03-15 2023-09-14 삼성전자주식회사 반도체 메모리 소자 및 이의 제조방법
KR102496377B1 (ko) * 2017-10-24 2023-02-06 삼성전자주식회사 저항변화 물질층을 가지는 비휘발성 메모리소자
JP2019165139A (ja) * 2018-03-20 2019-09-26 東芝メモリ株式会社 記憶装置および記憶装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258586A (ja) * 2006-03-24 2007-10-04 Tokyo Electron Ltd 半導体装置の製造方法及びプラズマ処理装置並びに記憶媒体
US20080210923A1 (en) * 2006-08-25 2008-09-04 Elpida Memory, Inc. Semiconductor device and method of manufacturing the same
CN102593350A (zh) * 2011-01-18 2012-07-18 中国科学院上海微系统与信息技术研究所 相变存储单元及其制作方法
US20150243885A1 (en) * 2014-02-25 2015-08-27 Micron Technology, Inc. Cross-point memory and methods for fabrication of same

Also Published As

Publication number Publication date
JP7166363B2 (ja) 2022-11-07
WO2019236273A1 (en) 2019-12-12
KR20210000730A (ko) 2021-01-05
JP2021527948A (ja) 2021-10-14
EP3815148A1 (en) 2021-05-05
US20190378975A1 (en) 2019-12-12
EP3815148A4 (en) 2022-06-15
US10825987B2 (en) 2020-11-03
CN112236866B (zh) 2024-05-07
US20210098697A1 (en) 2021-04-01
US11545623B2 (en) 2023-01-03
KR102477451B1 (ko) 2022-12-14

Similar Documents

Publication Publication Date Title
KR102359858B1 (ko) 크로스-포인트 메모리 어레이의 자가-정렬된 메모리 데크
CN100550462C (zh) 具有l型电极的电阻式随机存取存储器单元
US8237148B2 (en) 4F2 self align side wall active phase change memory
US8168538B2 (en) Buried silicide structure and method for making
US7932506B2 (en) Fully self-aligned pore-type memory cell having diode access device
US8513637B2 (en) 4F2 self align fin bottom electrodes FET drive phase change memory
US9747975B2 (en) Multi-level phase change memory
US9236568B2 (en) Sidewall thin film electrode with self-aligned top electrode and programmable resistance memory
US8030635B2 (en) Polysilicon plug bipolar transistor for phase change memory
US20100019215A1 (en) Mushroom type memory cell having self-aligned bottom electrode and diode access device
CN101872838A (zh) 具有埋入相变化区域的存储单元及其制造方法
KR102568279B1 (ko) 메모리 디바이스를 위한 비아 형성
US11950522B2 (en) Electronic device and method for manufacturing electronic device
US8067766B2 (en) Multi-level memory cell
US7897954B2 (en) Dielectric-sandwiched pillar memory device
CN112236866B (zh) 用于存储器单元的电极的制造
CN113451205A (zh) 存储器装置中的低电阻通孔触点
CN111009607B (zh) 可变电阻存储器件
KR100583967B1 (ko) 이중 캐핑막을 갖는 상변화 기억소자 및 그 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant