CN110634953A - 铁电半导体器件 - Google Patents

铁电半导体器件 Download PDF

Info

Publication number
CN110634953A
CN110634953A CN201910067461.3A CN201910067461A CN110634953A CN 110634953 A CN110634953 A CN 110634953A CN 201910067461 A CN201910067461 A CN 201910067461A CN 110634953 A CN110634953 A CN 110634953A
Authority
CN
China
Prior art keywords
electric field
ferroelectric
field control
oxide
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910067461.3A
Other languages
English (en)
Other versions
CN110634953B (zh
Inventor
刘香根
崔容寿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN110634953A publication Critical patent/CN110634953A/zh
Application granted granted Critical
Publication of CN110634953B publication Critical patent/CN110634953B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2275Writing or programming circuits or methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本发明公开了一种铁电半导体器件。本公开的铁电半导体器件包括:衬底;铁电层,其被设置在所述衬底上;电场控制层,其被设置在所述铁电层上并具有用来改变所述铁电层的矫顽电场的幅值的、在不施加外部电力的情况下形成的预定的内部电场;以及栅电极层,其被设置在所述电场控制层上。

Description

铁电半导体器件
相关申请的交叉引用
本申请要求于2018年6月22日提交的申请号为10-2018-0072331的韩国专利申请的优先权,其通过引用整体并入本文。
技术领域
本公开的各种实施例总体而言涉及半导体器件,并且更具体地,涉及铁电半导体器件。
背景技术
通常,铁电材料指的是在没有施加外部电场的状态下具有自发电极化的材料。另外,当施加外部电场时,铁电材料可以表现出极化滞后行为。可以控制所施加的外部电场,以使得铁电材料在极化滞后曲线上具有两个稳定的剩余极化状态之一。可以在存储器件中利用这些特性来以非易失性方式储存“0”或“1”的逻辑信息。
最近,已经研究了作为非易失性存储器件的场效应晶体管型铁电半导体器件,在其中铁电材料被用在栅极电介质层中。可以通过将预定写入电压施加至栅电极层并且将剩余极化的不同状态作为逻辑信息储存在栅极电介质层中来执行关于非易失性存储器件的写入操作。可以经由读取通过场效应晶体管的沟道层的操作电流来形成关于非易失性存储器件的读取操作。场效应晶体管中的沟道层的电阻根据储存在栅极电介质层中的不同的剩余极化状态而改变。
发明内容
提供了根据本公开的一个方面的铁电半导体器件。所述铁电半导体器件包括:衬底;铁电层,其被设置在所述衬底上;电场控制层,其被设置在所述铁电层上并且具有用来控制所述铁电层的矫顽电场的幅值的、在不施加外部电力的情况下形成的预定的内部电场;以及栅电极层,其被设置在所述电场控制层上。
提供了根据本公开的另一方面的铁电半导体器件。所述铁电半导体器件包括:衬底;铁电层,其被设置在所述衬底上;电场控制层,其被设置在所述铁电层上;以及栅电极层,其被设置在所述电场控制层上。所述电场控制层包括缺陷位点(site),所述缺陷位点具有负电荷、分布在与所述电场控制层和所述栅电极层的界面相邻的内部区域中。
附图说明
图1是示意性地示出根据本公开的一个实施例的铁电半导体器件的截面图。
图2是示出根据本公开的一个实施例的铁电半导体器件的电场控制层的示意图。
图3是示出根据本公开的一个实施例的电场控制层中的负电荷的电势的曲线图。
图4A和图4B是示出根据本公开的一个实施例的电场控制层中的氧空位的浓度分布的曲线图。
图5A和图5B是示出根据本公开的一个实施例的电场控制层中的氮空位的浓度分布的曲线图。
图6A和图6B是示出根据本公开的一个实施例的电场控制层中的掺杂剂的浓度分布的曲线图。
图7是示出根据本公开的一个实施例的铁电半导体器件的写入操作的视图。
图8是示意性地示出在根据本公开的一个实施例的铁电半导体器件中的铁电层的电场极化滞后回线的曲线图。
图9和图10是分别示出储存在根据本公开的实施例的铁电半导体器件的铁电层中的剩余极化的视图。
图11是示意性地示出提供给本公开的一个实施例中的铁电半导体器件的写入电压的输入脉冲的图。
图12是示意性地示出根据本公开的另一实施例的铁电半导体器件的截面图。
图13是示意性地示出在图12的铁电半导体器件中的铁电层的电场极化滞后回线的曲线图。
具体实施方式
现在将在下文中参考附图描述各种实施例。在附图中,为了清楚说明,可能夸大层和区域的尺寸。相对于观察者的视角来描述附图。如果一个元件被称为位于另一个元件上,则可以理解该元件直接位于另一个元件上,或者附加的元件可以介于该元件与另一个元件之间。在整个说明书中,相同的附图标记表示相同的元件。
另外,除非在上下文中另外明确地使用,词的单数形式的表述应该被理解为包括词的复数形式。将要理解,术语“包括”、“包含”或“具有”旨在指定特征、数字、步骤、操作、元件、部件或其组合的存在,但不用于排除一个或多个其他特征、数字、步骤、操作、组件、部件或其组合的存在或添加可能性。此外,在执行方法或制造方法的过程中,构成该方法的每个过程可以与规定的顺序不同地进行,除非在上下文中明确地描述了特定的序列。换言之,每个过程可以以与所述顺序相同的方式执行,可以实质上同时执行,或者可以以相反的顺序执行。
图1是示意性地示出根据本公开的一个实施例的铁电半导体器件1的截面图。图2是示出根据本公开的一个实施例的铁电半导体器件1的电场控制层130的示意图。图3是示出根据本公开的一个实施例的电场控制层130中的负电荷的电势的曲线图。图4A和图4B是示出根据本公开的一个实施例的电场控制层130中的氧空位的浓度分布的曲线图。图5A和图5B是示出根据本公开的另一实施例的电场控制层130中的氮空位的浓度分布的曲线图。图6A和图6B是示出根据本公开的另一实施例的电场控制层130中的掺杂剂的浓度分布的曲线图。
参考图1,铁电半导体器件1可以包括衬底101、铁电层120、电场控制层130和栅电极层140。铁电半导体器件1还可以包括在衬底101与铁电层102之间的界面绝缘层110。此外,铁电半导体器件1还可以包括设置在衬底101中、在栅电极层140的两端处的源极区150和漏极区160。铁电半导体器件1可以是在其中根据铁电层120中的极化取向或极化幅值来以非易失性方式储存不同的信号信息的存储器件。此外,极化取向或极化幅值可以通过在栅电极层140之下的衬底101中形成的沟道层的电阻幅值来表征。
参考图1,衬底101可以例如包括半导体材料。衬底101可以是例如硅(Si)衬底、砷化镓(GaAs)衬底、磷化铟(InP)衬底、锗(Ge)衬底或硅锗(SiGe)衬底。在一个实施例中,衬底101可以被掺杂以具有导电性。作为一个示例,衬底101可以掺杂有p型掺杂剂或n型掺杂剂。作为另一示例,衬底101可以包括掺杂有n型掺杂剂或p型掺杂剂的阱区。
界面绝缘层110可以被设置在衬底101上。界面绝缘层110可以介于衬底101与铁电层120之间。界面绝缘层110可以用于抑制在铁电半导体器件1的制造期间在衬底101与铁电层120之间的材料的扩散。此外,界面绝缘层110可以防止具有不同晶格常数的衬底101与铁电层120的直接接触。通过包括界面绝缘层110,可以防止或限制由于在衬底101与铁电层120之间的界面处的晶格失配而产生的晶体缺陷。随着在铁电层120的减损过程中在界面处的晶体缺陷的数量或密度的增加,铁电半导体器件1的反转操作的可靠性会劣化,并且其持久性可能劣化。因此,在衬底101与铁电层120之间插入界面绝缘层110可以改善铁电半导体器件1的操作。
在一个实施例中,界面绝缘层110可以具有无定形结构。界面绝缘层110可以例如包括氧化硅、氮氧化硅或氧化铝。作为一个示例,当衬底101是硅衬底时,界面绝缘层110可以是氧化硅层或氮氧化硅层。界面绝缘层110可以例如具有1纳米(1nm)或者零纳米(0)和2纳米(2nm)之间(包括端值)的厚度。
铁电层120可以被设置在界面绝缘层110上。铁电层120可以包括铁电材料,该铁电材料在没有供应外部电压或外部电流的状态下具有处于预定取向和预定幅值的剩余极化。例如,铁电层120可以具有约3纳米(3nm)至10纳米(10nm)(包括端值)的厚度。
在一个实施例中,铁电层120可以包括金属氧化物。铁电层120可以例如包括具有正交晶系的晶体结构的金属氧化物。铁电层120可以例如包括氧化铪、氧化锆、锆铪氧化物(hafnium zirconium oxide)或其组合。在一个实施例中,铁电层120可以包括至少一种掺杂剂。掺杂剂可以例如包括碳(C)、硅(Si)、镁(Mg)、铝(Al)、钇(Y)、氮(N)、锗(Ge)、锡(Sn)、锶(Sr)、铅(Pb)、钙(Ca)、钡(Ba)、钛(Ti)、钆(Gd)、镧(La)或者其两种或更多种的组合。在一个实施例中,掺杂剂可以通过促进铁电层120中的正交晶体结构的保持来稳定铁电层120的铁电特性。
电场控制层130可以被设置在铁电层120上。如图2中所示,在没有从外部施加电力的状态下可以在电场控制层130中形成预定的内部电场E130。即,内部电场E130可以存在且在没有外部施加的电压或电流的情况下被保持,并且可以具有预定的尺寸和方向。内部电场E130可以具有在从与铁电层120相邻(即与第二界面S2相邻)的内部区域朝向与栅电极层140相邻(即与第一界面S1相邻)的内部区域的方向上的取向。在一个示例中,与第一界面S1相邻的内部区域可以用负电荷N130充电,并且与第二界面S2相邻的内部区域可以用正电荷P130充电。
在一个实施例中,可以利用图2的内部电场E130在电场控制层130中形成具有梯度的负电荷的电势(如图3所示)。参考图3,从与第一界面S1相邻的内部区域至与第二界面S2相邻的内部区域,电场控制层130中的负电荷的电势可以降低。作为一个示例,负电荷的电势在第一界面S1处具有第一电势值EP1,并且然后可以实质上连续地减小直至达到第二界面S2和第二电势值EP2。
同时,形成在电场控制层130中的内部电场E130可以影响关于铁电层120的极化反转操作。如稍后将结合图8所述的,铁电层120的极化滞后回线特性受到内部电场E130的影响或改变。
电场控制层130可以例如包括绝缘氧化物或绝缘氮化物。电场控制层130可以例如包括氧化硅、氧化钛、氧化铝、氧化镍、氧化铜、氧化锆、氧化锰、氧化铪、氧化钨、氧化钽、氧化铌、氧化铁、氧化镧、氮化硅、氮化铝、或者其两种或更多种的组合。电场控制层130可以例如具有2纳米(2nm)至10纳米(10nm)(包括端值)的厚度。
在一个实施例中,当电场控制层130包括绝缘氧化物时,电场控制层130可以具有氧空位,如图4A和图4B所示,该氧空位的浓度和分布被控制为在电场控制层130内具有预定值。电场控制层130内的氧空位可以产生能够捕获电子的俘获位点。在其中捕获电子的俘获位点具有负电荷,该负电荷可以在电场控制层130内部产生内部电场E130,即使在电场控制层130具有绝缘特性的情况下。
在一个实施例中,如图4A和图4B所示,电场控制层130的与第一界面S1相邻或者靠近第一界面S1的内部区域中的氧空位浓度可以被控制或调节为高于在与第二界面S2相邻或接近第二界面S2的内部区域中的氧空位浓度。在一个实施例中,如图4A所示,氧空位可以具有沿着电场控制层130的厚度方向的浓度梯度。作为一个示例,在第一界面S1处具有第一氧空位值OV1的氧空位浓度可以基本上连续地减小,直至第二界面S2,该第二界面S2具有第二氧空位值OV2。随着氧空位浓度增加,具有负电荷的俘获位点的密度会增加。
具有如图4A所示的氧空位浓度的梯度的电场控制层130可能具有如图3所示的负电荷的电势。此外,电场控制层130可以具有带有如图2所示的取向或方向的内部电场。在一个实施例中,图4A中所示的氧空位的浓度梯度可以通过在制造期间控制电场控制层130的组成来实现。作为一个示例,当使用含有绝缘氧化物的薄膜来形成电场控制层130时,可以控制被注入到薄膜中的氧的量。即,当在界面绝缘层110上沉积电场控制层130时,可以根据工艺时间来减少作为源气体而供应的氧的量。因此,氧供应量沿着电场控制层130的厚度方向朝向上部或界面S1降低或减小,使得电场控制层130中的氧空位的浓度在该区域中增大。
同时,第二界面S2处的第二氧空位值OV2可以对应于当在制造工艺中使用足够或必需量的氧时在电场控制层130的内部形成的氧空位的最小量。例如,可以使用原子层沉积法或化学气相沉积法来形成电场控制层130。作为一个示例,当电场控制层130具有约2纳米(2nm)至10纳米(10nm)(包括端值)的厚度时,第一氧空位值OV1与第二氧空位值OV2的比率可以是至少五比一(5:1)、六比一(6:1)、十比一(10:1)或更大。
在另一实施例中,如图4B所示,氧空位可以被集中在与第一界面S1相邻或靠近第一界面S1的区域中,并且从第一界面S1至第一深度SOC,电场控制层130可以具有预定的第三氧空位值OV3。该第一深度SOC表示与第一界面S1间隔开预定距离。如果距第一界面S1的距离大于第一深度SOC,则电场控制层130中的氧空位的浓度减小至第二氧空位值OV2,该第二氧空位值OV2由与制造工艺一致的氧空位的最小量来确定。结果,可以发现高密度俘获位点集中在与第一界面S1相邻、至第一深度SOC的区域中。
电场控制层130可以具有内部电场,该内部电场具有如图2中所示的取向或方向。在一个示例中,第一深度SOC可以是电场控制层130的厚度的约1/10至1/2。作为一个示例,第一深度SOC可以是约1纳米(1nm)至2纳米(2nm)。在一个实施例中,当电场控制层130具有2纳米(2nm)至10纳米(10nm)(包括端值)的厚度时,第三氧空位值OV3与第二氧空位值OV2的比率可以是至少五比一(5:1)、六比一(6:1)、十比一(10:1)或更大。
在一个实施例中,图4B中所示的氧空位的浓度梯度可以通过在形成电场控制层130的上部区域时快速减少氧供应量来实现,该上部区域接近第一界面S1或与第一界面S1相邻。因此,电场控制层130的氧空位浓度可以随着与第一界面S1相邻的区域中的氧对应地减少而快速增加。
在另一实施例中,当电场控制层130包括绝缘氮化物时,电场控制层130可以包括其浓度和分布在电场控制层130中受到控制的氮空位。作为一个示例,电场控制层130可以是氮化硅层。其中的氮空位可以产生能够捕获电场控制层130中的电子的俘获位点。捕获电子的俘获位点中的负电荷有助于形成内部电场E130,该内部电场E130可以具有与绝缘层一致的性质。
如图5A和图5B所示,电场控制层130的靠近第一界面S1或与第一界面S1相邻的内部区域中的氮空位浓度可以高于电场控制层130的与第二界面S2相邻或靠近第二界面S2的内部区域的氮空位浓度。在一个实施例中,如图5A所示,在第一界面S1处具有第一氮空位值NV1的氮空位浓度可以基本上连续减小,直至达到第二界面S2处的第二氮浓度值NV2。在一个实施例中,第二界面S2处的第二氮浓度值NV2可以对应于在制造工艺期间通常在电场控制层130中形成的氮空位的最小量。
具有负电荷的俘获位点的密度可以随着氮空位的浓度的增加而增加。作为一个示例,具有图5A中所示的氮空位浓度的梯度的电场控制层130可以具有图3中所示的负电荷的电势。另外,电场控制层130可以具有内部电场,该内部电场具有图2中所示的取向或方向。在一个实施例中,当电场控制层130具有约2纳米(2nm)至10纳米(10nm)(包括端值)的厚度时,第一氮空位值NV1与第二氮空位值NV2的比率可以是至少五比一(5:1)、六比一(6:1)、十比一(10:1)或更大。
在另一实施例中,如图5B所示,氮空位可以集中在与第一界面S1相邻的区域上,并且从第一界面S1至第一深度SNC,电场控制层130可以具有预定的第三氮空位值NV3,该第一深度SNC表示与第一界面S1间隔开预定距离。在电场控制层130中,当距第一界面S1的距离大于第一深度SNC时,该区域中的电场控制层130的氮空位浓度可以具有第二氮空位值NV2。第二氮空位值NV2对应于在制造期间产生的氮空位的最小量。结果,高密度俘获位点可以存在或集中在与第一界面S1相邻、至第一深度SNC的区域中。电场控制层130可以具有内部电场,该内部电场具有图2中所示的取向或方向。作为一个示例,第一深度SNC可以是电场控制层130的厚度的约1/10至1/2。在一些情况下,第一深度SNC可以是约1纳米(1nm)至2纳米(2nm),包括端值。
在一个实施例中,图5B中所示的氮空位浓度的梯度可以通过快速减少在形成电场控制层130的与第一界面S1相邻、至预定深度的上部区域时提供的氮的量来实现。因此,电场控制层130的氮空位浓度可以对应于由于该区域中氮的不足而导致的增加。在一个实施例中,当电场控制层130具有2纳米(2nm)至10纳米(10nm)(包括端值)的厚度时,第三氮空位值NV3与第二氮空位值NV2的比率可以是五比一(5:1)、六比一(6:1)、十比一(10:1)或更高。
在又一实施例中,为了在电场控制层130中形成内部电场E130,可以将掺杂剂注入至电场控制层130中以产生浓度梯度。在一个实施例中,可以使用离子注入法或等离子体处理法来注入掺杂剂。掺杂剂可以在电场控制层130中产生具有负电荷的缺陷位点。掺杂剂可以是n型掺杂剂。在一个实施例中,当电场控制层130包括氧化硅或氮化硅时,掺杂剂可以是具有比硅(Si)的价电子数更大的元素。作为一个示例,当电场控制层130包括氧化硅或氮化硅时,掺杂剂可以例如包括磷(P)、砷(As)或锑(Sb)。掺杂剂可以被氧化硅或氮化硅的硅(Si)取代,以在取代状态下充当具有负电荷的缺陷位点。在另一实施例中,当电场控制层130包括绝缘金属氧化物或绝缘金属氮化物时,掺杂剂可以是具有比金属氧化物或金属氮化物的金属的价电子数更大的元素。掺杂剂可以被电场控制层130中的金属取代,以在取代状态下充当具有负电荷的缺陷位点。
如图6A和图6B所示,电场控制层130的接近第一界面S1或与第一界面S1相邻的内部区域中的掺杂剂浓度可以高于该层的与第二界面S2相邻或靠近第二界面S2的内部区域中的掺杂剂浓度。在一个实施例中,如图6A所示,掺杂剂可以具有沿着电场控制层130的厚度方向的浓度梯度。作为一个示例,在第一界面S1处具有第一掺杂剂浓度值DC1的掺杂剂浓度可以基本上连续减小,直至到达第二界面S2,具有第二掺杂剂浓度值DC2。第二掺杂剂浓度值DC2可以是在注入掺杂剂以达到与第一界面S1相邻或靠近第一界面S1的第一掺杂剂浓度值DC1的过程中不可避免地注入至与第二界面S2相邻的区域中的掺杂剂的量的下限值。随着掺杂剂浓度增加,具有负电荷的缺陷位点的密度可能增加。具有如图6A中所示的掺杂剂浓度的梯度的电场控制层130可以具有图3中所示的电子电势的分布。另外,电场控制层130可以具有内部电场,该内部电场具有图2中所示的取向或方向。
在另一实施例中,如图6B所示,掺杂剂可以集中在靠近第一界面S1或与第一界面S1相邻的区域中。即,从第一界面S1到第一深度SDC,电场控制层130可以具有第三掺杂剂浓度值DC3,该第一深度SDC是距第一界面S1的预定距离。当距第一界面S1的距离增加超过第一深度SDC时,电场控制层130的剩余部分的掺杂剂浓度可以保持第二掺杂剂浓度DC2,该第二掺杂剂浓度DC2对应于由制造工艺产生的电场控制层130中的最小掺杂剂浓度。结果,高密度缺陷位点可能存在于与第一界面S1相邻、至第一深度SDC的区域中。电场控制层130可以具有内部电场,该内部电场具有图2中所示的取向或方向。作为一个示例,第一深度SDC可以是电场控制层130的厚度的约1/10至1/2。
同时,返回参考图1,栅电极层140可以被设置在电场控制层130上。栅电极层140可以包括导电材料。导电材料可以例如包括钨(W)、钛(Ti)、铜(Cu)、铝(Al)、钌(Ru)、铂(Pt)、铱(Ir)、氧化铱、氮化钨、氮化钛、氮化钽、碳化钨、碳化钛、硅化钨、硅化钛、硅化钽、氧化钌或者其两种或更多种的组合。
源极区150和漏极区160可以被设置在衬底101中、在栅电极层140的两端处。源极区150和漏极区160可以是衬底101的掺杂有与衬底101不同类型的掺杂剂的区域。作为一个示例,当衬底101被掺杂成p型时,源极区150和漏极区160可以被掺杂成n型。作为另一示例,当衬底101被掺杂成n型时,源极区150和漏极区160可以被掺杂成p型。
图7是示出根据本公开的一个实施例的铁电半导体器件1的写入操作的视图。图8是示意性地示出受到根据本公开的一个实施例的铁电半导体器件1中的电场控制层130影响的铁电层120的场极化滞后回线的曲线图。图9和图10是示出储存在根据本公开的一个实施例的铁电半导体器件1的铁电层120中的剩余极化的视图。图11是示意性地示出提供给本公开的一个实施例中的铁电半导体器件1的写入电压的输入脉冲20的视图。
参考图7,可以制备上述参考图1至图6B描述的铁电半导体器件1。如图7所示,电场控制层130可以具有带有预定幅值和预定方向的内部电场E130。内部电场E130可以具有从第二界面S2至第一界面S1的取向或方向。
如图7中所示,铁电半导体器件1的衬底101、源极区150和漏极区160可以接地,并且具有正偏压的栅极电压Vg和具有负偏压的栅极电压Vg可以依次施加至栅电极层140,以在铁电层120中形成具有预定幅值和取向的极化。图8示出了滞后回线10,其示出了当施加栅极电压Vg时,在电场控制层130中的剩余极化的影响下在铁电层120中形成的极化的变化。具体地,在图8中,铁电层120的极化根据操作电场E的幅值而变化。操作电场E可以被定义为通过将所施加的栅极电压Vg除以铁电层120的厚度而获得的值。
参考图8,滞后回线10可以具有第一矫顽电场Ecp、第二矫顽电场Enp、第一剩余极化Prp和第二剩余极化Prn。第一矫顽电场Ecp和第二矫顽电场Enp是当将操作电场E施加至铁电层120时可以使储存在铁电层120中的极化的取向反转的最小或阈值幅值的电场。作为一个示例,当铁电层120具有第一剩余极化Prp时,铁电层120中的极化取向可以在通过栅电极层140来施加具有等于或大于第二矫顽电场Enp的绝对值的幅值的负电场时反转到相反的方向。作为另一示例,当铁电层120具有第二剩余极化Prn时,铁电层120中的极化取向可以在通过栅电极层140来施加具有等于或大于第一矫顽电场Ecp的幅值的正电场时反转到相反的方向。
第一剩余极化Prp和第二剩余极化Prn可以意指在每个方向上在未施加栅极电压Vg的状态下铁电层120可以保持的最大极化。通过将分别对应于等于或大于第一饱和电场Esp和第二饱和电场Esn的绝对值的幅值的正极性或负极性的栅极电压Vg施加至栅电极层140并且然后去除该栅极电压Vg,可以在铁电层120中形成第一剩余极化Prp和第二剩余极化Prn。即,第一饱和电场Esp和第二饱和电场Esn可以意指通过栅电极层140施加以便分别获得第一剩余极化Prp和第二剩余极化Prn的最小或阈值操作电场。
图8的极化滞后回线示出了当分别对应于第一饱和电场Esp和第二饱和电场Esn的绝对值的幅值的正极性或负极性的栅极电压Vg被施加至栅电极层140时,铁电层120可以具有的作为最大极化的第一饱和极化Psp和第二饱和极化Psn。
参考图8,第一剩余极化Prp和第二剩余极化Prn的幅值可以实质上相同。另一方面,第一矫顽电场Ecp的绝对值可以小于第二矫顽电场Enp的绝对值。另外,为了获得第一剩余极化Prp而施加的第一饱和电场Esp的绝对值可以小于为了获得第二剩余极化Prn而施加的第二饱和电场Esn的绝对值。在本公开的实施例中,电场控制层130的内部电场影响铁电层120的滞后回线特性,从而改变一对矫顽电场的幅值,否则该对矫顽电场将具有实质上相同的绝对值。结果,如上所述,通过电场控制层130的内部电场对铁电层120中剩余极化的幅值和取向的影响,第一矫顽电场Ecp和第二矫顽电场Enp可以变化或改变为不同的绝对值。
图9示意性地示出了根据一个实施例的具有如图8所示的第一剩余极化Prp的铁电层120的极化取向。图10示意性地示出了根据一个实施例的具有如图8所示的第二剩余极化Prn的铁电层120的极化取向。
如上所述,不管栅极电压Vg的施加如何,电场控制层130都具有带有预定幅值和预定取向的内部电场E130。电场控制层130的与第一界面S1相邻的内部区域可以具有负电荷N130,并且电场控制层130的与第二界面S2相邻的内部区域可以具有正电荷P130。正电荷P130可以在铁电层120的内部产生电场,这会影响极化反转。
在一个实施例中,当将具有正偏压的栅极电压Vg施加至栅电极层140时,铁电层120的极化可以与第一极化取向Pdn对准。由电场控制层130中的正电荷P130形成的电场会增强铁电层120的极化以与第一极化取向Pdn对准。作为一个示例,当铁电层120具有与第二极化取向Pup相对应的第二剩余极化Prn时,由正电荷P130形成的电场可以帮助根据栅极电压Vg将铁电层120的极化从第二极化取向Pup反转至第一极化取向Pdn。参考图9,用于在铁电层120中形成具有第一极化取向Pdn的第一剩余极化的栅极电压Vg可以是对应于图8的第一饱和电场Esp的电压。
在另一实施例中,当将具有负偏压的栅极电压Vg施加至栅电极层140时,铁电层120的极化可以与第二极化取向Pup对准。由电场控制层130中的正电荷P130形成的电场可以防止或抑制铁电层120的极化与第二极化取向Pup对准。作为一个示例,当铁电层120具有第一极化取向Pdn的第一剩余极化Prp时,由正电荷P130形成的电场可以防止或抑制通过栅极电压Vg将铁电层120的极化从第一极化取向Pdn反转至第二极化方向Pup。参考图10,用于在铁电层120中形成具有第二极化取向Pup的第二剩余极化Prn的栅极电压Vg可以是对应于图8的第二饱和电场Esn的电压。
因此,具有内部电场E130的电场控制层130介于铁电层120与栅电极层140之间,使得铁电层130的第一矫顽电场Ecp的绝对值可以减小至小于第二矫顽电场Enp的绝对值。另外,第一饱和电场Esp的绝对值可以减小至小于第二饱和电场Esn的绝对值。因此,用于在铁电层120中形成第一剩余极化Prp的栅极电压Vg的绝对值可以被控制或改变为实质上小于被用于在铁电层120中形成第二剩余极化Prn的栅极电压Vg的绝对值。
图11示意性地示出了为本公开的一个实施例中的铁电半导体器件1的写入操作提供的栅极电压Vg的脉冲信号20。参考图11,在从第一时间t1至第二时间t2的第一时间间隔Δta期间,通过施加具有预定正极性的第一写入电压Vp并且然后去除第一写入电压Vp,可以在铁电层120中形成具有第一极化取向Pdn的剩余极化Prp。另外,在从第三时间t3至第四时间t4的第二时间间隔Δtb期间,通过施加具有预定负极性的第二写入电压Vn并且然后去除第二写入电压Vn,可以在铁电层120中形成具有第二极化取向Pup的剩余极化Prn。第一写入电压Vp的幅值可以小于第二写入电压Vn的绝对幅值。第一时间间隔Δta的幅值和第二时间间隔Δtb的幅值可以实质上相同。
根据上述参考图1至图3以及图7至图11描述的实施例,可以改善铁电半导体器件的反转操作的极化保留或持久性。在一个实施例中,参考图1,界面绝缘层110可以被包括在衬底101与铁电层120之间。由于界面绝缘层110是以零至2纳米(2nm)(包括端值)的厚度来形成的,因此,当约为三伏特(3V)至四伏特(4V)的栅极电压Vg以具有正极性的偏压的形式施加至栅电极层140时,界面绝缘层110或铁电层120可以被电损伤。
在一个实施例中,界面绝缘层110或铁电层120中的氧化物或氮化物的化学键可能被具有正极性的偏压破坏,从而可以形成氧空位或氮空位。当氧空位或氮空位集中在界面绝缘层110与铁电层120之间的界面处或附近时,氧空位或氮空位可以充当减损铁电层120的极化保留特性或持久性的缺陷位点。另一方面,当将栅极电压Vg以具有负极性的偏压的形式施加至栅电极层140时,与以具有正极性的偏压的形式施加栅极电压Vg的情况相比,界面绝缘层110或铁电层120可以接收相对较少的电损伤。这是因为具有负极性的偏压不如破坏界面绝缘层110中或铁电层120中的氧化物或氮化物的化学键有效。
根据本公开的实施例,当关于铁电层120的写入操作进行时,电场控制层130中的预定内部电场E130可以减小必须施加至栅电极层140的具有正极性的写入电压的幅值。因此,可以减小由于具有正偏压的写入电压引起的界面绝缘层110或铁电层120的电损伤,这是因为在界面绝缘层110和铁电层120中形成的氧空位或氮空位的密度减小。另外,还可以减小在界面绝缘层110与铁电层120之间的界面处形成的缺陷位点的密度。相比之下,在写入操作期间施加至栅电极层140的具有负极性的偏压的幅值可以在幅值上相对更大,但是具有负极性的偏压对空位和缺陷位点的产生具有更小的影响。因此,可以在不使铁电半导体器件1的极化反转操作的可靠性劣化的情况下有效地提高铁电半导体器件1的极化保留或持久性。
图12是示意性地示出根据本公开的另一实施例的铁电半导体器件2的截面图。图13是示意性地示出图12的铁电半导体器件2中的铁电层120的电场极化滞后回线30的曲线图。
参考图12,铁电半导体器件2的配置可以与图1所示的铁电半导体器件1的配置实质上相同,除了电场控制层230的内部电场E230的方向与图1的铁电半导体器件1的电场控制层130的内部电场E130的方向相反之外。
可以通过控制电场控制层230中的氧空位的浓度梯度、氮空位的浓度梯度或掺杂剂的浓度梯度来获得电场控制层230的内部电场E230。具体地,可以在电场控制层230中形成与图4A、图4B、图5A、图5B、图6A或图6B中所示的氧空位、氮空位或掺杂剂的浓度梯度相反方向的浓度梯度。作为一个示例,电场控制层230的与铁电层120相邻(即,接近第二界面S4或与第二界面S4相邻)的内部区域中的氧空位的浓度可以高于与栅电极层140相邻(即,与第一界面S3相邻或靠近第一界面S3)的内部区域中的氧空位的浓度。作为另一示例,在电场控制层230中,与第二界面S4相邻的内部区域中的掺杂剂浓度可以高于与第一界面S3相邻的内部区域中的掺杂剂浓度。
参考图13的电场极化滞后回线30,第一剩余极化Prp1和第二剩余极化Prn1的绝对幅值可以实质上相同。然而,第一矫顽电场Ecp1的绝对值可以大于第二矫顽电场Enp1的绝对值。另外,用于获得第一剩余极化Prp1的第一饱和电场Esp1的绝对值可以大于用于建立第二剩余极化Prn1的第二饱和电场Esn1的绝对值。参考图12和图13,当第一写入操作进行时,形成在电场控制层230中的预定内部电场E230可能需要增加具有正极性的偏压的幅值,该偏压被作为第一写入电压施加至栅电极层140。第一写入电压可以是将具有第一极化取向Pdn的第一剩余极化Prp1写入铁电层120中的电压。相反,当关于铁电层120的第二写入操作进行时,形成在电场控制层230中的预定内部电场E230可以减小具有负极性的偏压的绝对幅值,该偏压被作为第二写入电压施加至栅电极层140。第二写入电压可以是将具有第二极化取向Pup的第二剩余极化Prn1写入铁电层120中的电压。如上所述,根据本实施例,当将第一剩余极化Prp1和第二剩余极化Prn1写入铁电层120中时,归因于在电场控制层230中形成的内部电场E230,可以区分施加至栅电极层140的偏压的幅值。即,第一饱和电场Esp1和第一矫顽电场Ecp1的绝对值大于对应的第二饱和电场Esn1和第二矫顽电场Enp1的绝对值。
以上已经出于说明性目的公开了发明性构思的实施例。本领域普通技术人员将认识到,在不脱离所附权利要求中公开的发明性构思的范围和精神的情况下,各种修改、添加和替换是可能的。

Claims (20)

1.一种铁电半导体器件,包括:
衬底:
铁电层,其被设置在所述衬底上;
电场控制层,其被设置在所述铁电层上;以及
栅电极层,其被设置在所述电场控制层上,
其中,所述电场控制层被配置成具有控制所述铁电层的矫顽电场的幅值的内部电场。
2.根据权利要求1所述的铁电半导体器件,其中,所述内部电场被形成为使得负电荷的电势从所述电场控制层与所述栅电极层的第一界面至所述电场控制层与所述铁电层的第二界面减小。
3.根据权利要求1所述的铁电半导体器件,
其中,所述电场控制层包括多个氧空位,
其中,第一氧空位浓度从所述电场控制层与所述栅电极层的第一界面起减小至所述电场控制层与所述铁电层的第二界面处的第二氧空位浓度。
4.根据权利要求1所述的铁电半导体器件,
其中,所述电场控制层包括多个氮空位,
其中,第一氮空位浓度从所述电场控制层与所述栅电极层的第一界面起减小至所述电场控制层与所述铁电层的第二界面处的第二氮空位浓度。
5.根据权利要求1所述的铁电半导体器件,其中,所述铁电层包括从由氧化铪、氧化锆和锆铪氧化物组成的组中选择的至少一种。
6.根据权利要求5所述的铁电半导体器件,其中,所述铁电层包括从由碳C、硅Si、镁Mg、铝Al、钇Y、氮N、锗Ge、锡Sn、锶Sr、铅Pb、钙Ca、钡Ba、钛Ti、钆Gd和镧La组成的组中选择的至少一种来作为掺杂剂。
7.根据权利要求1所述的铁电半导体器件,其中,所述电场控制层包括绝缘氧化物或绝缘氮化物。
8.根据权利要求1所述的铁电半导体器件,其中,所述电场控制层包括从由氧化硅、氧化钛、氧化铝、氧化镍、氧化铜、氧化锆、氧化锰、氧化铪、氧化钨、氧化钽、氧化铌、氧化铁、氧化镧、氮化硅和氮化铝组成的组中选择的至少一种。
9.根据权利要求1所述的铁电半导体器件,其中,所述电场控制层包括具有浓度梯度的掺杂剂。
10.根据权利要求1所述的铁电半导体器件,其中所述电场控制层包括掺杂剂,以及
其中,掺杂剂浓度从所述电场控制层与所述栅电极层的第一界面至所述电场控制层与所述铁电层的第二界面减小。
11.根据权利要求1所述的铁电半导体器件,其中,所述栅电极层包括从由钨W、钛Ti、铜Cu、铝Al、钌Ru、铂Pt、铱Ir、氧化铱、氮化钨、氮化钛、氮化钽、碳化钨、碳化钛、硅化钨、硅化钛、硅化钽和氧化钌组成的组中选择的至少一种。
12.根据权利要求1所述的铁电半导体器件,还包括:
源极区和漏极区,它们被设置在所述衬底中、位于所述栅电极层的两端。
13.一种铁电半导体器件,包括:
衬底;
铁电层,其被设置在所述衬底上;
电场控制层,其被设置在所述铁电层上;以及
栅电极层,其被设置在所述电场控制层上,
其中,所述电场控制层包括多个缺陷位点,所述缺陷位点具有集中在所述电场控制层的与所述栅电极层相邻的一部分中的负电荷。
14.根据权利要求13所述的铁电半导体器件,其中,所述电场控制层包括产生缺陷位点的掺杂剂、氮空位和氧空位中的至少一种。
15.根据权利要求13所述的铁电半导体器件,
其中,所述电场控制层具有内部电场,以及
其中,所述内部电场被形成为使得所述负电荷的电势从所述电场控制层与所述栅电极层的第一界面至所述电场控制层与所述铁电层的第二界面减小。
16.根据权利要求13所述的铁电半导体器件,
其中,所述电场控制层包括绝缘氧化物或绝缘氮化物。
17.根据权利要求13所述的铁电半导体器件,
其中,所述电场控制层包括从由氧化硅、氧化钛、氧化铝、氧化镍、氧化铜、氧化锆、氧化锰、氧化铪、氧化钨、氧化钽、氧化铌、氧化铁、氧化镧、氮化硅和氮化铝组成的组中选择的至少一种。
18.根据权利要求13所述的铁电半导体器件,
其中,所述铁电层包括从由氧化铪、氧化锆和锆铪氧化物组成的组中选择的至少一种。
19.根据权利要求13所述的铁电半导体器件,还包括:
界面绝缘层,其被设置在所述衬底与所述铁电层之间。
20.根据权利要求13所述的铁电半导体器件,还包括:
源极区和漏极区,它们被设置在所述衬底中、位于所述栅电极层的两端。
CN201910067461.3A 2018-06-22 2019-01-18 铁电半导体器件 Active CN110634953B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180072331A KR102527568B1 (ko) 2018-06-22 2018-06-22 강유전성 반도체 소자
KR10-2018-0072331 2018-06-22

Publications (2)

Publication Number Publication Date
CN110634953A true CN110634953A (zh) 2019-12-31
CN110634953B CN110634953B (zh) 2023-05-30

Family

ID=68968902

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910067461.3A Active CN110634953B (zh) 2018-06-22 2019-01-18 铁电半导体器件

Country Status (3)

Country Link
US (1) US10903363B2 (zh)
KR (1) KR102527568B1 (zh)
CN (1) CN110634953B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7066585B2 (ja) * 2018-09-19 2022-05-13 キオクシア株式会社 記憶装置
US11349008B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11177284B2 (en) 2018-12-20 2021-11-16 Sandisk Technologies Llc Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same
US10700093B1 (en) 2018-12-20 2020-06-30 Sandisk Technologies Llc Ferroelectric memory devices employing conductivity modulation of a thin semiconductor material or a two-dimensional charge carrier gas and methods of operating the same
US20230029023A1 (en) * 2019-12-27 2023-01-26 National Institute Of Advanced Industrial Science And Technology Ferroelectric thin film, electronic element using same, and method for manufacturing ferroelectric thin film
US11107516B1 (en) 2020-02-24 2021-08-31 Sandisk Technologies Llc Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same
TWI773307B (zh) * 2020-05-28 2022-08-01 台灣積體電路製造股份有限公司 記憶體電路及寫入方法
US11508427B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuit and write method
US20220199631A1 (en) * 2020-12-22 2022-06-23 Advanced Nanoscale Devices Ferroelectric semiconducting floating gate field-effect transistor
US11843037B2 (en) 2021-03-19 2023-12-12 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
KR102598993B1 (ko) * 2021-11-11 2023-11-07 한국생산기술연구원 불감층 효과가 개선된 강유전체 전계효과 트랜지스터 및 이의 제조방법
KR20230163667A (ko) * 2022-05-24 2023-12-01 삼성전자주식회사 반도체 장치 및 그의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1302456A (zh) * 1999-04-29 2001-07-04 赛姆特里克斯公司 具有成分分级的铁电材料的铁电场效应晶体管及其制造方法
US20030094638A1 (en) * 1999-08-26 2003-05-22 Micron Technology, Inc. Weak ferroelectric transistor
US20060081901A1 (en) * 2004-09-10 2006-04-20 Fujitsu Limted Ferroelectric memory, multivalent data recording method and multivalent data reading method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100327483B1 (ko) 1998-03-09 2002-04-17 윤종용 강유전체의비대칭히스테리시스특성을이용한고밀도데이터저장장치및그데이터기록재생방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1302456A (zh) * 1999-04-29 2001-07-04 赛姆特里克斯公司 具有成分分级的铁电材料的铁电场效应晶体管及其制造方法
US20030094638A1 (en) * 1999-08-26 2003-05-22 Micron Technology, Inc. Weak ferroelectric transistor
US20060081901A1 (en) * 2004-09-10 2006-04-20 Fujitsu Limted Ferroelectric memory, multivalent data recording method and multivalent data reading method

Also Published As

Publication number Publication date
CN110634953B (zh) 2023-05-30
KR20200010626A (ko) 2020-01-31
US10903363B2 (en) 2021-01-26
US20190393355A1 (en) 2019-12-26
KR102527568B1 (ko) 2023-05-03

Similar Documents

Publication Publication Date Title
CN110634953B (zh) 铁电半导体器件
US10374054B2 (en) Ferroelectric memory devices
US10804294B2 (en) Ferroelectric device and method of manufacturing the same
US10475814B2 (en) Ferroelectric memory devices
US10522564B2 (en) Ferroelectric memory device and method of manufacturing the same
US11508846B2 (en) Ferroelectric memory device
Pešić et al. Built-in bias generation in anti-ferroelectric stacks: Methods and device applications
US10978483B2 (en) Ferroelectric memory device
US10923501B2 (en) Ferroelectric memory device and method of manufacturing the same
CN109256387B (zh) 铁电存储器件
KR20180111304A (ko) 강유전성 메모리 장치
US10847541B2 (en) Ferroelectric memory device and a method of manufacturing the same
US7759713B2 (en) Ferroelectric tunneling element and memory applications which utilize the tunneling element
CN113948581B (zh) 存储器单元、存储器单元布置及其方法
KR102479391B1 (ko) 분극 가능한 물질을 이용한 메모리 소자
US11818895B2 (en) Semiconductor device including ferroelectric layer and metal particles embedded in metal-organic framework layer
US20230099330A1 (en) Semiconductor device including ferroelectric layer and insulation layer with metal particles and methods of manufacturing the same
KR20240060480A (ko) 강유전성 구조물에 반강유전성을 유도하기 위한 억제층 삽입

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant