CN109952636B - 等离子体点燃抑制 - Google Patents

等离子体点燃抑制 Download PDF

Info

Publication number
CN109952636B
CN109952636B CN201780068797.0A CN201780068797A CN109952636B CN 109952636 B CN109952636 B CN 109952636B CN 201780068797 A CN201780068797 A CN 201780068797A CN 109952636 B CN109952636 B CN 109952636B
Authority
CN
China
Prior art keywords
gas
plasma
source
helium
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780068797.0A
Other languages
English (en)
Other versions
CN109952636A (zh
Inventor
帕维尔·尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109952636A publication Critical patent/CN109952636A/zh
Application granted granted Critical
Publication of CN109952636B publication Critical patent/CN109952636B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供了一种用于抑制等离子体处理室中的静电卡盘的氦分配通道中的电弧放电的方法,其中所述静电卡盘连接到用于提供夹持电压的电压源,并且其中所述等离子体处理室包括处理气体源,以及用于将处理气体转化为等离子体的等离子体电源。使气体流过静电卡盘的所述氦分配通道到达晶片的背面。所述气体包含氦气和电负性气体。

Description

等离子体点燃抑制
相关申请的交叉引用
本申请要求于2016年11月11日提交的美国申请No.15/349,918的优先权,其通过引用并入本文以用于所有目的。
技术领域
本公开涉及用于在半导体晶片上形成半导体器件的方法和装置。更具体地,本公开涉及在半导体器件的形成期间在衬底支撑件中的点燃抑制。
背景技术
半导体处理系统用于处理诸如半导体晶片之类的衬底。可以在这样的系统上执行的示例性处理包括但不限于导体蚀刻、电介质蚀刻、原子层沉积、化学气相沉积和/或其他蚀刻、沉积或清洁处理。衬底可以布置在半导体处理系统的处理室中的衬底支撑件上,例如基座、静电卡盘(ESC)上。衬底支撑件可包括具有嵌入式加热器的陶瓷层、高压电极以及结合到陶瓷层的基板。衬底支撑件还可包括氦分配通道,其用于将氦供应到晶片的背面以控制衬底和衬底支撑件之间的热导率。半导体处理系统可以实现需要高RF功率的等离子体处理(例如,等离子体蚀刻处理),所述高RF功率将导致在衬底支撑件处出现高电压。施加在衬底支撑件上的电压的增加可能导致不希望有的效果,例如在氦分配通道和/或衬底支撑件的其他腔中产生电弧或气体点燃。点燃可能损坏半导体器件和处理室、在晶片上产生颗粒缺陷、损坏晶片上的半导体器件等,从而增加成本和设备停机时间并降低产品产量。
发明内容
为了实现上述目的并根据本发明的目的,提供了一种用于抑制等离子体处理室中的静电卡盘的氦分配通道中的电弧放电的方法,其中所述静电卡盘连接到用于提供夹持电压的电压源,并且其中所述等离子体处理室包括处理气体源,以及用于将处理气体转化为等离子体的等离子体电源。使气体流过静电卡盘的所述氦分配通道到达晶片的背面。所述气体包含氦气和电负性气体。
在另一种表现形式中,提供了一种用于等离子体处理晶片的装置。提供静电卡盘以用于支撑晶片,其中静电卡盘具有用于向晶片的背面提供冷却气体的氦分配通道。氦气和电负性气体源与所述氦分配通道流体连接。
本发明的这些特征和其它特征将在下面在本发明的详细描述中并结合以下附图进行更详细的描述。
附图说明
在附图中以示例而非限制的方式示出了本公开,并且附图中类似的附图标记表示相似的元件,其中:
图1是一种实施方案的高级流程图。
图2是可以在一种实施方案中使用的等离子体处理室的示意图。
图3是可以用于实施一种实施方案的计算机系统的示意图。
图4是另一实施方案中的ESC气体源的放大示意图。
具体实施方式
现在将参考附图中所示的几个优选实施方案来详细描述本发明。在下面的描述中,阐述了许多具体细节以便提供对本发明的彻底理解。然而,对于本领域技术人员显而易见的是,本发明可以在没有这些具体细节中的一些或全部的情况下实施。在其他情况下,未详细描述公知的工艺步骤和/或结构,以免不必要地使本发明不清楚。
图1是一实施方案的高级流程图。在该实施方案中,将衬底放置在处理室中在静电卡盘上(步骤104)。使点燃抑制气体流过静电卡盘以冷却衬底的背面(步骤108)。施加夹持电压(112)。处理衬底(步骤116)。
实施例
在本发明的优选实施方案中,将衬底放置在处理室中在静电卡盘上(步骤104)。图2是可以在一个实施方案中使用的等离子体处理室的示意图。在一个或多个实施方案中,等离子体处理系统200包括在处理室249内的设置气体入口的气体分配板206和静电卡盘(ESC)208,处理室249由室壁250包围。在处理室249内,衬底212位于ESC 208的顶部。ESC208可以提供来自ESC源248的夹持电压。处理气体源210通过分配板206连接到处理室249。ESC气体源251提供ESC气体通过入口213到达氦分配通道214。氦分配通道与冷却剂端口216流体连接,以向衬底212的背面提供冷却剂,以控制衬底212和ESC 208之间的热导率。RF源230向下电极234提供RF功率。在该实施方案中,上电极是气体分配板206。在一优选实施方案中,400kHz、2MHz、60MHz和27MHz电源构成RF源230。在该实施方案中,针对每个频率提供一个发生器。在其他实施方案中,发生器可以在单独的RF源中,或者单独的RF发生器可以连接到不同的电极。例如,上电极可以具有连接到不同RF源的内电极和外电极。在其他实施方案中可以使用RF源和电极的其它布置,例如在另一个实施方案中,上电极可以接地。控制器235可控地连接到RF源230、ESC源248、排放泵220、ESC气体源251和处理气体源210。这种等离子体处理室的一个示例是由Lam Research Corporation(Fremont,CA)制造的ExelanFlexTM蚀刻系统。处理室可以是CCP(电容耦合等离子体)反应器或ICP(感应耦合等离子体)反应器。
图3是示出适用于实现在本发明的实施方案中使用的控制器235的计算机系统300的高级框图。计算机系统可以具有从集成电路、印刷电路板和小型手持设备到超大型计算机的许多物理形式。计算机系统300包括一个或多个处理器302,并且还可以包括电子显示设备304(用于显示图形、文本和其他数据)、主存储器306(例如随机存取存储器(RAM)),存储设备308(例如,硬盘驱动器)、可移动存储设备310(例如,光盘驱动器)、用户界面设备312(例如,键盘、触摸屏、小键盘、鼠标或其他指点设备等)和通信接口314(例如,无线网络接口)。通信接口314允许通过链路在计算机系统300和外部设备之间传送软件和数据。系统还可以包括与上述设备/模块连接的通信基础设施316(例如,通信总线、交叉连接杆或网络)。
经由通信接口314传送的信息可以是例如电子、电磁、光学之类的信号形式或能够经由通信链路由通信接口314接收的其它信号,通信链路携带信号并可以使用导线或电缆、光纤、电话线、蜂窝电话链路、射频链路和/或其他通信信道实现。利用这样的通信接口,可以预期一个或多个处理器302可以在执行上述方法步骤的过程中从网络接收信息,或者可以向网络输出信息。此外,本发明的方法实施方案可以仅在处理器上执行,或者可以通过诸如因特网之类的网络与共享处理的一部分的远程处理器一起执行。
术语“非瞬态计算机可读介质”通常用于指代介质,诸如主存储器、辅助存储器、可移动存储设备、和存储设备,诸如硬盘、闪存存储器、磁盘驱动存储器、CD-ROM以及其他形式的持久性存储器,并且不应当被解释为涵盖瞬态标的物,如载波或信号。计算机代码的示例包括机器代码(诸如由编译器产生的机器代码)和含有由计算机使用解释器执行的较高级代码的文档。计算机可读介质也可以是由包含在载波中的计算机数据信号发送的并且代表能由处理器执行的指令序列的计算机代码。
在该示例中,基本上由氦和氧组成的点燃抑制气体从ESC气体源251流到ESC 214中的氦分配通道(步骤108)。在该示例中,点燃抑制气体为1%至30%的氧气,其余气体为氦气。在一示例中,点燃抑制气体以10至80托的压强流过氦分配通道214。
施加夹持电压(步骤112)。在该示例中,提供了-2000到-2900伏的夹持电压。
处理衬底(步骤116)。在该示例中,该处理是电介质蚀刻处理。在该示例中,包含18sccm C4F8、19sccm O2和400sccm Ar的处理气体从处理气体源210流入处理室249,同时保持70mTorr至90mTorr的室压。提供RF功率以使处理气体形成为等离子体。在该示例中,以2MHz提供3000瓦特,以27MHz提供1500瓦特,以60MHz提供500瓦特。当等离子体自偏置电压增加时,使用高夹持电压。在该示例中,点燃抑制气体防止点燃。在处理的晶片的背面没有观察到电弧迹线。
为了测试氧气添加的有效性,使用纯He代替点燃抑制气体进行相同的处理。在这样的测试中,发生了点燃。这表明向氦分配气体中添加氧气对于抑制或消除点燃产生差异。
由于被添加有氦气时的氧气被认为是有害的,所以将氧气添加到氦气中并不是明显的,因为氧气减少了ESC与晶片之间的热接触,并且会泄漏到处理室中,这可能改变该处理。在各种实验中,发现与使用纯氦相比,添加氧气不会导致夹持和温度分布的任何损失。需要夹持以将衬底保持在静电卡盘上。由于热扩散率与分子量的平方根的倒数成比例,因此基本上由氧和氦组成的气体比单独的氦具有更小的热扩散率。各种实验已经发现,添加氧气不会影响热扩散性到足以影响晶片温度。另外,一些氧气可能会泄漏到处理室中。已发现少量的氧气泄漏对该处理没有显著的不利影响。
在现有技术中,使用极端电压值处理衬底在氦分配通道中引起电弧放电。这种电弧会损坏衬底和处理室。这除了修复损坏的处理室而损失时间之外,还导致设备缺陷。已经使用各种装置和方法来减少电弧放电。然而,这样的装置和方法不是完全有效的或可能干扰该处理。此外,这样的装置复杂且昂贵。
不受理论束缚,相信由于氧是电负性气体,所以添加的氧通过捕获自由电子来抑制放电,从而防止放电。气体中的自由电子是点燃等离子体所必需的;如果去除这些电子,则不会发生电弧放电。电负性气体(例如氧气、氟气、氯气等)被认为捕获自由电子,使它们附着在原子上。以前的自由电子一旦被束缚,就不能参与电弧放电事件。因此,将电负性气体引入主冷却气体流中使自由电子的数量减少到维持等离子体放电所需的阈值水平以下。在其他实施方案中,可以使用其他电负性气体,例如氟、氯和SiH4。然而,氧气是优选的,因为氧气危害较小且化学反应性较低。在说明书和权利要求书中,电负性的气体具有至少3.00的Pauline等级的电负性。
多种实施方案减少或消除由于点燃(氦分配通道中的电弧放电)引起的损坏,这减少了对晶片和器件缺陷的损坏。它还提高了生产率并提供更大的安全操作参数空间。提供更大的安全操作参数使得可由处理室执行的处理的范围更宽。处理室的寿命也延长了。
在一实施方案中,ESC气体源可以是氧气和氦气的单一来源,例如具有氦气和氧气的混合物的容器,其中按氧的摩尔数除以总摩尔数的比率计量,氧气是总气体的1%至30%。图4是另一实施方案中的ESC气体源的放大示意图。在该实施方案中,ESC气体源251包括氧源404和氦源408。氧源404连接到氧气阀412。氦源408连接到氦气阀416。氧气阀412和氦气阀416连接到入口213。在该示例中,由于存在单独的氧源404和氦源408,因此,氧气阀412和氦气阀416可用于提供所需的氦气与氧气的流量比。
优选地,提供大于3000瓦特的RF平均功率。在一些实施方案中,RF功率以7000至40000瓦特提供。更优选地,RF功率以5000至20000瓦特提供。在多种实施方案中,夹持电压具有至少500伏的幅值。更优选地,夹持电压具有至少2000伏的幅值。更优选地,多种实施方案具有-2000伏至-3000伏之间的夹持电压。
其他实施方案可以用于电感耦合的处理室中。其他实施方案可以使用具有内加热区域和外加热区域的静电卡盘。为了提供内部区域和外部区域,密封带可以是凸起的脊部,其隔离内部区域和外部区域。另外,台面可以放置在密封带之间并且可以提供额外的支撑。
虽然已经根据几个优选实施方案描述了本发明,但是存在落在本发明的范围内的改变、修改、置换和各种替代等同方案。还应当注意,存在实现本发明的方法和装置的许多替代方式。因此,以下所附权利要求旨在被解释为包括落在本发明的真实精神和范围内的所有这样的改变、修改、置换和各种替代等同方案。

Claims (18)

1.一种用于抑制等离子体处理室中的静电卡盘的氦分配通道中的电弧放电的方法,其中所述静电卡盘连接到用于提供夹持电压的电压源,并且其中所述等离子体处理室包括处理气体源,以及用于将处理气体转化为等离子体的等离子体电源,所述方法包括:
使气体流过所述静电卡盘的所述氦分配通道到达晶片的背面,其中所述气体包含:
氦气,其用于冷却所述晶片的所述背面;和
电负性气体,其用于抑制所述等离子体处理室中的所述静电卡盘的所述氦分配通道中的电弧放电。
2.根据权利要求1所述的方法,其中所述电负性气体包括O2、F2、Cl2或SiH4中的至少一种。
3.根据权利要求2所述的方法,其还包括:
施加来自所述电压源的夹持电压;
使处理气体从所述处理气体源流到所述晶片的正面;以及
使所述处理气体形成等离子体。
4.根据权利要求3所述的方法,其中所述夹持电压具有至少500伏的幅值。
5.根据权利要求4所述的方法,其中所述使所述处理气体形成等离子体包括从所述等离子体电源向所述处理气体提供超过3000瓦特的RF平均功率。
6.根据权利要求5所述的方法,其中所述的使气体流过所述静电卡盘的所述氦分配通道到达晶片的背面包括使基本上由He和O2组成的气体流过。
7.根据权利要求1所述的方法,其还包括:
施加来自所述电压源的夹持电压;
使处理气体从所述处理气体源流到所述晶片的正面;以及
使所述处理气体形成等离子体。
8.根据权利要求7所述的方法,其中所述使所述处理气体形成等离子体包括从所述等离子体电源向所述处理气体提供超过3000瓦特的RF平均功率。
9.根据权利要求1所述的方法,其中所述夹持电压具有至少500伏的幅值。
10.根据权利要求1所述的方法,其中所述的使气体流过所述静电卡盘的所述氦分配通道到达晶片的背面包括使基本上由He和O2组成的气体流过。
11.根据权利要求1所述的方法,其中所述电负性气体包含氧气,其中按摩尔比计量,所述氧气是所述气体的1%至30%。
12.一种用于等离子体处理晶片的装置,其包括:
用于支撑晶片的静电卡盘,其中所述静电卡盘具有用于向所述晶片的背面提供冷却气体的氦分配通道;和
氦气和电负性气体源,其与所述氦分配通道流体连通;
其中所述氦气和电负性气体源包括:
氦源;和
电负性气体源,所述电负性气体源供应电负性气体,用于抑制所述静电卡盘的所述氦分配通道中的电弧放电。
13.根据权利要求12所述的装置,其中所述电负性气体源是氧气体源。
14.根据权利要求13所述的装置,其还包括:
围绕所述静电卡盘的等离子体室;
与所述等离子体室流体连接的处理气体源;以及
等离子体电源,其耦合到所述等离子体室,以用于将所述处理气体转变成等离子体。
15.根据权利要求14所述的装置,其还包括静电夹持电压源,该静电夹持电压源能够提供幅值为至少2000伏的偏置电压。
16.根据权利要求12所述的装置,其还包括:
围绕所述静电卡盘的等离子体室;
与所述等离子体室流体连接的处理气体源;以及
等离子体电源,其耦合到所述等离子体室,以用于将所述处理气体转变成等离子体。
17.根据权利要求12所述的装置,其还包括静电夹持电压源,该静电夹持电压源能够提供幅值为至少2000伏的偏置电压。
18.根据权利要求12所述的装置,其中所述氦气和电负性气体源包括容器,所述容器包含氦气和氧气的混合物,其中按摩尔比计量,所述氧气是所述混合物的1%至30%。
CN201780068797.0A 2016-11-11 2017-10-19 等离子体点燃抑制 Active CN109952636B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/349,918 US10535505B2 (en) 2016-11-11 2016-11-11 Plasma light up suppression
US15/349,918 2016-11-11
PCT/US2017/057454 WO2018089180A1 (en) 2016-11-11 2017-10-19 Plasma light up suppression

Publications (2)

Publication Number Publication Date
CN109952636A CN109952636A (zh) 2019-06-28
CN109952636B true CN109952636B (zh) 2024-03-26

Family

ID=62108030

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780068797.0A Active CN109952636B (zh) 2016-11-11 2017-10-19 等离子体点燃抑制

Country Status (5)

Country Link
US (1) US10535505B2 (zh)
KR (1) KR102423975B1 (zh)
CN (1) CN109952636B (zh)
TW (1) TW201828779A (zh)
WO (1) WO2018089180A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
JP2022512852A (ja) * 2018-11-01 2022-02-07 ラム リサーチ コーポレーション He孔着火/アーク放電を防止する特徴を有する高出力静電チャック
KR102297382B1 (ko) 2019-10-18 2021-09-01 세메스 주식회사 기판 처리 시스템 및 방법

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2867679A (en) * 1952-12-04 1959-01-06 Gen Electric Gas composition for cooling and insulating purposes
JPH03269924A (ja) * 1990-03-19 1991-12-02 Hitachi Ltd ガス遮断器
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US5955823A (en) * 1998-05-12 1999-09-21 Ultra Sonus Ab High power ultrasonic transducer
US6016023A (en) * 1998-05-12 2000-01-18 Ultra Sonus Ab Tubular ultrasonic transducer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
JP3693972B2 (ja) * 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
JP4322484B2 (ja) * 2002-08-30 2009-09-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US6946403B2 (en) * 2003-10-28 2005-09-20 Axcelis Technologies, Inc. Method of making a MEMS electrostatic chuck
JP4468194B2 (ja) * 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7393460B2 (en) 2005-03-29 2008-07-01 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7884025B2 (en) 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20110174784A1 (en) * 2008-09-30 2011-07-21 Toshikazu Kamei Method for gas-shielded arc brazing of steel sheet
US8435906B2 (en) 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
JP2010182763A (ja) * 2009-02-04 2010-08-19 Hitachi High-Technologies Corp プラズマ処理装置
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US20110024049A1 (en) 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
AU2012305500B2 (en) * 2011-09-07 2015-10-08 Mitsubishi Electric Corporation Tank-type breaker
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
JP2015069770A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9273393B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Torch system for depositing protective coatings on interior walls and recesses present on the flat surface of an object
US9343252B2 (en) * 2014-08-27 2016-05-17 Eaton Corporation Arc extinguishing contact assembly for a circuit breaker assembly
JP6524534B2 (ja) * 2016-03-09 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
CN108087735A (zh) * 2018-01-15 2018-05-29 佛山电器照明股份有限公司 一种高效散热的led灯泡

Also Published As

Publication number Publication date
CN109952636A (zh) 2019-06-28
TW201828779A (zh) 2018-08-01
US20180138021A1 (en) 2018-05-17
US10535505B2 (en) 2020-01-14
KR20190070363A (ko) 2019-06-20
WO2018089180A1 (en) 2018-05-17
KR102423975B1 (ko) 2022-07-21

Similar Documents

Publication Publication Date Title
US20210134604A1 (en) Etching method
KR101772723B1 (ko) 플라즈마 처리 방법
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
CN109952636B (zh) 等离子体点燃抑制
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR20160127674A (ko) 피처리체를 처리하는 방법
KR20160041764A (ko) 피처리체를 처리하는 방법
KR20150024277A (ko) 반도체 장치의 제조 방법
KR20070081749A (ko) 기판 처리실의 세정 방법, 기억 매체 및 기판 처리실
KR20150069514A (ko) 에칭 방법
KR101835683B1 (ko) 다층막을 에칭하는 방법
KR20160094306A (ko) 피처리체를 처리하는 방법
KR20160041778A (ko) 피처리체를 처리하는 방법
KR20160124670A (ko) 유기막을 에칭하는 방법
US10553409B2 (en) Method of cleaning plasma processing apparatus
US20210340668A1 (en) Method for conditioning a plasma processing chamber
KR102348077B1 (ko) 플라즈마 처리 방법
KR20160140469A (ko) 에칭 방법
US10811274B2 (en) Etching method and plasma processing apparatus
KR102538188B1 (ko) 플라즈마 처리 장치의 세정 방법
KR20170053134A (ko) 피처리체를 처리하는 방법
US9711371B2 (en) Method of etching organic film
CN112335028A (zh) 用于处理晶片的方法和装置
US20170069497A1 (en) Plasma etching method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant