KR20190070363A - 플라즈마 라이트 업 억제 - Google Patents

플라즈마 라이트 업 억제 Download PDF

Info

Publication number
KR20190070363A
KR20190070363A KR1020197016581A KR20197016581A KR20190070363A KR 20190070363 A KR20190070363 A KR 20190070363A KR 1020197016581 A KR1020197016581 A KR 1020197016581A KR 20197016581 A KR20197016581 A KR 20197016581A KR 20190070363 A KR20190070363 A KR 20190070363A
Authority
KR
South Korea
Prior art keywords
plasma
gas
source
helium
process gas
Prior art date
Application number
KR1020197016581A
Other languages
English (en)
Other versions
KR102423975B1 (ko
Inventor
파벨 니
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190070363A publication Critical patent/KR20190070363A/ko
Application granted granted Critical
Publication of KR102423975B1 publication Critical patent/KR102423975B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

플라즈마 프로세싱 챔버 내의 정전 척의 헬륨 분배 채널들에서 아크를 억제하는 방법이 제공되고, 정전 척은 척킹 전압을 제공하기 위한 전압 소스에 연결되고, 플라즈마 프로세싱 챔버는 프로세싱 가스 소스 및 프로세스 가스를 플라즈마로 변환하는 플라즈마 전력 소스를 포함한다. 가스가 웨이퍼의 후면으로 정전 척의 헬륨 분배 채널들을 통해 흐른다. 가스는 헬륨 및 음전성 (electronegative) 가스를 포함한다.

Description

플라즈마 라이트 업 억제
관련 출원들에 대한 교차 참조
본 출원은 2016년 11월 11일 출원된 미국 특허 출원 번호 제 15/349,918 호의 우선권을 주장하고, 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하기 위한 방법 및 장치에 관한 것이다. 보다 구체적으로, 본 개시는 반도체 디바이스들의 형성 동안 기판 지지부에서 라이트 업 (light up) 억제에 관한 것이다.
반도체 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 프로세싱하기 위해 사용된다. 이러한 시스템에서 수행될 수도 있는 예시적인 프로세스들은 도체 에칭, 유전체 에칭, 원자 층 증착, 화학 기상 증착 (chemical vapor deposition), 및/또는 또 다른 에칭, 증착 또는 세정 프로세스들을 포함하지만 이에 한정되는 것은 아니다. 기판은 예를 들어, 반도체 프로세싱 시스템의 프로세싱 챔버 내의 페데스탈, 정전 척 (electrostatic chuck, ESC) 인 기판 지지부 상에 배열될 수도 있다. 기판 지지부는 히터들이 내장된 세라믹 층, 고 전압 전극들, 및 세라믹 층에 본딩된 베이스 플레이트를 또한 포함할 수도 있다. 기판 지지부는 기판과 기판 지지부 사이의 열 전도성을 제어하도록 헬륨을 웨이퍼의 후면에 공급하기 위한 헬륨 분배 채널들을 더 포함할 수도 있다. 반도체 프로세싱 시스템들은 고 전압들이 기판 지지부에서 나타나게 하는 고 RF 전력을 요구하는 플라즈마 프로세스들 (예를 들어, 플라즈마 에칭 프로세스들) 을 구현할 수도 있다. 기판 지지부에 걸쳐 인가된 전압의 증가는 헬륨 분배 채널들 및/또는 기판 지지부의 다른 캐비티들에서 아크 (arcing) 또는 가스 라이트 업과 같은 바람직하지 못한 영향들을 야기할 수도 있다. 라이트 업은 반도체 디바이스들 및 프로세싱 챔버를 손상시킬 수도 있고, 웨이퍼 상에 입자 결함들을 만들 수도 있고 웨이퍼 상의 반도체 디바이스들을 손상시키는 등을 할 수도 있으며, 따라서 비용 및 장비의 다운타임을 증가시키고 제품 수율을 감소시킨다.
전술한 바를 달성하고자 그리고 본 발명의 목적에 따라, 플라즈마 프로세싱 챔버 내의 정전 척의 헬륨 분배 채널들에서 아크를 억제하는 방법이 제공되고, 정전 척은 척킹 전압을 제공하기 위한 전압 소스에 연결되고, 플라즈마 프로세싱 챔버는 프로세싱 가스 소스 및 프로세스 가스를 플라즈마로 변환시키는 플라즈마 전력 소스를 포함한다. 가스가 웨이퍼의 후면으로 정전 척의 헬륨 분배 채널들을 통해 흐른다. 가스는 헬륨 및 음전성 (electronegative) 가스를 포함한다.
또 다른 현상예 (manifestation) 에서, 웨이퍼를 플라즈마 프로세싱하기 위한 장치가 제공된다. 정전 척은 웨이퍼를 지지하기 위해 제공되며, 정전 척은 웨이퍼의 후면에 냉각 가스를 제공하기 위한 헬륨 분배 채널들을 갖는다. 헬륨 및 음전성 (electronegative) 가스 소스는 헬륨 분배 채널들과 유체 연결 (fluid connection) 된다.
본 발명의 이와 같거나 다른 특징들 (features) 은 이하의 도면들과 함께 본 발명의 상세한 설명에서 보다 자세하게 기술될 것이다.
본 발명은 첨부 도면에 의하여 예로서 예시되었으나, 이에 한정되는 것은 아니며, 도면에서 유사한 참조부호는 유사한 엘리먼트들을 지칭한다:
도 1은 일 실시예의 고 레벨 플로우 차트 (high level flow chart) 이다.
도 2는 일 실시예에서 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 3은 일 실시예를 실시 (practice) 하는데 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
도 4는 또 다른 실시예에서 ESC 가스 소스의 확대된 개략도이다.
본 발명은 이제부터 첨부된 도면에서 예시되는 바와 같이 몇 개의 바람직한 실시예들을 참조하여 상세하게 기술될 것이다. 이후의 기술에서는, 본 발명의 철저한 이해를 제공하기 위해 여러가지 구체적인 세부사항들이 제시된다. 그러나, 당업자에게는 이러한 구체적인 세부사항들의 전부 또는 일부 없이도 본 발명이 실시될 수도 있다는 것은 자명할 것이다. 다른 경우에는, 주지의 프로세스 단계들 및/또는 구조물들은 본 발명을 불필요하게 모호하게 하지 않도록 자세하게 기술되지 않는다.
도 1은 일 실시예의 고 레벨 플로우 차트이다. 이 실시예에서, 기판은 정전 척 상의 프로세싱 챔버에 위치된다 (단계 104). 기판의 후면을 냉각시키도록 라이트 업 억제 가스가 정전 척을 통해 흐른다 (단계 108). 척킹 전압 (chucking voltage) 이 인가된다 (112). 기판이 프로세싱된다 (단계 116).
예시
본 발명의 바람직한 실시예에서, 기판은 정전 척 상에 프로세싱 챔버 내에 위치된다 (단계 104). 도 2는 일 실시예에서 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다. 하나 이상의 실시예에서, 플라즈마 프로세싱 시스템 (200) 은 챔버 벽 (250) 에 의해 둘러싸인, 프로세싱 챔버 (249) 내의 가스 유입구를 제공하는 가스 분배판 (gas distribution plate) (206) 및 정전 척 (ESC) (208) 을 포함한다. 프로세싱 챔버 (249) 내에서, 기판 (212) 이 ESC (208) 상단부에 위치된다 (positioned). ESC (208) 는 에지 소스 (248) 로부터의 척킹 전압을 제공할 수도 있다. 프로세스 가스 소스 (210) 는 분배판 (206) 을 통해 프로세싱 챔버 (249) 로 연결된다. ESC 가스 소스 (251) 는 ESC 가스를 유입구 (213) 를 통해 헬륨 분배 채널들 (214) 로 제공한다. 헬륨 분배 채널들은 기판 (212) 과 ESC (208) 사이의 열 전도성을 제어하도록 기판 (212) 의 후면에 냉매를 제공하기 위해 냉매 포트들 (216) 과 유체 연결된다. RF 소스 (230) 는 하부 전극 (234) 으로 RF 전력을 제공한다. 이 실시예에서, 상부 전극은 가스 분배 판 (206) 이다. 바람직한 실시예에서, 400 ㎑, 2 ㎒, 60 ㎒, 및 27 ㎒의 전력 소스들이 RF 소스 (230) 를 구성한다. 이 실시예에서, 주파수 각각을 위해 하나의 생성기가 제공된다. 다른 실시예들에서, 생성기들은 상이한 전극들로 연결될 수도 있는 분리된 (separate) RF 생성기들이거나 또는 분리된 RF 소스들 내에 있을 수도 있다. 예를 들어, 상부 전극은 상이한 RF 소스들로 연결된 내측 전극들 및 외측 전극들을 가질 수도 있다. 다른 실시예에서 상부 전극들이 접지 (grounded) 될 수도 있는 것과 같이, 다른 실시예들에서 RF 소스들의 다른 배열들 (arrangements) 이 사용될 수도 있다. 제어기 (235) 는 RF 소스 (230), ESC 소스 (248), 배기 펌프 (exhaust pump) (220), ESC 가스 소스 (251), 및 프로세스 가스 소스 (210) 로 제어가능하게 연결 (controllably connected) 된다. 이러한 플라즈마 프로세싱 챔버의 한 예시는 캘리포니아 Fremont의 Lam Research Corporation이 제조하는 Exelan FlexTM 에칭 시스템이다. 프로세스 챔버는 CCP (capacitive coupled plasma) 반응기이거나 ICP (inductive coupled plasma) 반응기일 수 있다.
도 3는 본 발명의 실시예에 사용하는 제어부 (235) 를 구현하기에 적합한 컴퓨터 시스템 (300) 을 도시하는 고 레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 및 소형 핸드헬드 디바이스부터 대형 슈퍼 컴퓨터까지 이르는 범위의 여러가지 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (300) 은 하나 이상의 프로세서 (302) 를 포함하고, (그래픽, 텍스트 및 기타 데이터를 표시하기 위한) 전자 디스플레이 디바이스 (304), (예를 들어 랜덤 액세스 메모리 (RAM) 와 같은) 메인 메모리 (306), (예를 들어 하드디스크 드라이브와 같은) 저장 디바이스 (308), (예를 들어 광학 디스크 드라이브와 같은) 이동식 저장 디바이스 (310), (예를 들어 키보드, 터치스크린, 키패드, 마우스 또는 기타 포인팅 디바이스와 같은) 유저 인터페이스 디바이스 (312) 및 (예를 들어 무선 네트워크 인터페이스와 같은) 통신 인터페이스 (314) 를 더 포함할 수 있다. 통신(들) 인터페이스 (314) 는 링크를 통해 컴퓨터 시스템 (300) 과 외부 디바이스들 사이의 소프트웨어 및 데이터 전송을 허용한다. 컴퓨터 시스템은 (예를 들어, 통신 버스, 크로스-오버 바 또는 네트워크와 같이) 앞서 언급한 (aforementioned) 디바이스들/모듈들을 연결하기 위한 통신 인프라스트럭처 (316) 를 또한 포함할 수도 있다.
통신 인터페이스 (314) 를 통해 전송되는 정보는 신호를 전달하며 와이어 또는 케이블, 광섬유, 전화선, 이동통신 회선 (cellular phone link), RF 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해 통신 인터페이스 (314) 로 수신할 수 있는 전자, 전자기, 광학 또는 다른 신호들과 같은 신호의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 상술한 방법의 단계들을 수행하는 과정에서 하나 이상의 프로세서들 (302) 은 네트워크로부터 정보를 수신할 수도 있고 또는 네트워크로 정보를 출력할 수 있다는 것이 고려된다. 더 나아가, 본 발명의 방법의 실시예들은 프로세서에 의해 전적으로 실행될 수도 있고, 프로세싱 일부를 공유하는 리모트 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 "비-일시적 컴퓨터 판독 가능 매체"는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장 디바이스 및 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 지속성 메모리 (persistent memory) 와 같은 저장 디바이스들과 같은 매체를 지칭하도록 사용되고, 반송파 (carrier waves) 또는 신호와 같은 일시적 (transitory) 인 주제를 포괄하는 것으로 해석하면 안될 것이다. 컴퓨터 코드의 예들은 컴파일러로 생성한 것과 같은 머신 코드 및 인터프리터를 사용하는 컴퓨터에 의하여 실행되는 보다 고레벨 코드를 포함하는 파일을 포함한다. 컴퓨터 판독 가능 매체는 또한 반송파 내에 구현되거나 프로세서가 실행가능한 인스트럭션들의 시퀀스가 나타내는 컴퓨터 데이터 신호에 의하여 전송되는 컴퓨터 코드일 수도 있다.
이 예에서, 본질적으로 헬륨과 산소로 구성된 라이트 업 억제 가스가 ESC 가스 소스 (251) 로부터 ESC (208) 의 헬륨 분배 채널들로 흐른다 (단계 108). 이 예에서, 라이트 업 억제 가스는 1% 내지 30% 산소이고, 나머지 가스는 헬륨이다. 이 예에서, 라이트 업 억제 가스는 10 내지 80 torr의 압력에서 헬륨 분배 채널들 (214) 을 통해 흐른다.
척킹 전압 (chucking voltage) 이 인가된다 (단계 112). 이 예에서, -2000 내지 -2900 볼트의 척킹 전압이 제공된다.
기판이 프로세싱된다 (단계 116). 이 예시에서, 프로세스는 유전체 에칭 프로세스 (dielectric etch process) 이다. 이 예에서, 18 sccm C4F8, 19 sccm O2 및 400 sccm Ar을 포함하는 프로세스 가스는 70 mTorr 내지 90 mTorr의 챔버 압력이 유지되는 동안 프로세스 가스 소스 (210) 로부터 프로세싱 챔버 (249) 로 흐른다. 프로세스 가스를 플라즈마로 형성하기 위해 RF 전력이 제공된다. 이 예에서, 2 ㎒로 3000 와트, 27 ㎒로 1500 와트, 60 ㎒로 500 와트가 제공된다. 플라즈마 셀프 바이어싱 (self biasing) 전압들이 증가함에 따라 고 척킹 전압들이 사용된다. 이 예에서, 라이트 업 억제 가스는 라이트 업을 방지한다. 프로세싱된 웨이퍼의 후면에서 아크 흔적들은 관찰되지 않았다.
산소 첨가의 효과를 시험하기 위해, 라이트 업 억제 가스 대신 순수한 He를 사용하여 동일한 프로세스가 수행되었다. 이러한 테스트에서, 라이트 업이 일어난다. 이는 헬륨 분배 가스에 산소를 첨가하는 것이 라이트 업을 억제하거나 제거하는데 있어서 차이를 만듦을 보여준다.
산소가 ESC와 웨이퍼 사이의 열적 접촉을 감소시키고 프로세스 챔버로 누출되어 프로세스를 변경시킬 수도 있기 때문에, 산소는 헬륨에 첨가될 때 해롭다고 (detrimental) 여겨졌기 때문에, 헬륨에 산소를 추가하는 것은 분명하지 않았을 것이다. 다양한 실험들에서 순수한 헬륨을 사용하는 것과 비교하여 산소 첨가는 클램핑 및 온도 분포의 손실을 야기하지 않는다는 것이 발견되었다. 기판을 정전 척에 홀딩하기 위해서 클램핑이 필요하다. 열 확산성 (thermal diffusivity) 은 분자 질량의 제곱근의 역수에 비례하기 때문에, 본질적으로 산소와 헬륨으로 구성된 가스는 헬륨만 있는 경우보다 작은 열 확산성을 갖는다. 다양한 실험들에서 산소 첨가가 웨이퍼의 온도에 영향을 끼칠만큼 열 확산성에 영향을 미치지 않는다는 것을 발견했다. 또한, 일부 산소는 프로세싱 챔버로 누출될 수도 있다. 소량의 산소 누출은 프로세스에 크게 해롭지 않다는 것이 발견되었다.
극단적 전압 값들을 사용한 기판들의 프로세싱은 종래 기술에서 헬륨 분배 채널들의 아크를 야기했다. 이러한 아크는 기판 및 프로세싱 챔버 모두에 손상을 준다. 이는 손상된 프로세싱 챔버를 수리하는 시간 손실과 더불어 장치 결함을 발생시킨다. 다양한 장치 및 방법들이 아크를 감소시키기 위해 사용되어 왔다. 그러나, 이러한 장치 및 방법들은 완전히 효과적이지 않을 수도 있고 또는 프로세스를 방해할 수도 있다. 또한, 이러한 장치들은 복잡하고 비싸다.
이론에 구애됨 없이, 산소는 음전성 가스이기 때문에, 산소의 첨가는 자유 전자들을 포획하여 방전을 억제하고, 따라서 방전을 금지한다고 믿어진다. 가스 내의 자유 전자들은 플라즈마를 점화시키는데 필요하고; 이러한 전자들이 제거되면 아크가 발생할 수 없다. (산소, 불소, 염소 등과 같은) 음전성 가스들은 자유 전자들을 포착하여 원자에 부착시키는 것으로 믿어진다. 이전의 자유 전자들은 일단 결합되면 아크의 발생에 기여할 수 없다. 따라서, 메인 냉각 가스의 플로우에 음전성 가스를 도입하는 것은 플라즈마 방전을 유지하는데 필요한 문턱 레벨들 이하로 자유 전자들의 수를 감소시킨다. 다른 실시예들에서, 불소, 염소 및 SiH4와 같은 다른 음전성 가스들이 사용될 수도 있다. 그러나, 산소는 덜 위험하고 덜 화학적으로 반응성이기 때문에 산소가 바람직하다. 본 명세서 및 청구 범위들에서, 음전성인 가스는 Pauline 규모로 적어도 3.00의 전기 음성도를 갖는다.
다양한 실시예들은 라이트 업 (헬륨 분배 채널들에서의 아크) 에 의한 손상을 감소시키거나 제거하여, 장치 결함들 및 웨이퍼에 대한 손상을 감소시킨다. 또한 생산성을 높이고 보다 안전한 동작 파라미터 공간을 제공한다. 보다 안전한 동작 파라미터들의 제공은 프로세스 챔버에서 수행될 수도 있는 보다 넓은 범위의 프로세스들을 허용한다. 프로세싱 챔버의 수명도 또한 연장된다.
일 실시예에서, ESC 가스 소스는 헬륨과 산소의 혼합물을 갖는 컨테이너와 같이, 산소가 산소 몰수를 전체 몰수로 나눈 값의 비율로 측정된 전체 가스의 1% 내지 30%인, 산소와 헬륨 모두의 단일 소스일 수도 있다. 도 4는 또 다른 실시예에서 ESC 가스 소스의 확대된 개략도이다. 이 실시예에서, ESC 가스 소스 (251) 는 산소 소스 (404) 및 헬륨 소스 (408) 를 포함한다. 산소 소스 (404) 는 산소 밸브 (412) 에 연결된다. 헬륨 소스 (408) 는 헬륨 밸브 (416) 에 연결된다. 산소 밸브 (412) 및 헬륨 밸브 (416) 는 유입구 (213) 에 연결된다. 이 예에서, 분리된 산소 소스 (404) 및 헬륨 소스 (408) 가 있으므로, 산소 밸브 (412) 및 헬륨 밸브 (416) 는 원하는 산소 대 헬륨 플로우 율 (helium to oxygen flow ratio) 을 제공하도록 사용될 수도 있다.
바람직하게, 3,000 와트 이상의 RF 평균 전력이 제공된다. 일부 실시예에서, RF 전력은 7,000 내지 40,000 와트로 제공된다. 보다 바람직하게, RF 전력은 5,000 내지 20,000 와트로 제공된다. 다양한 실시예들에서, 척킹 전압은 적어도 500 볼트의 크기를 갖는다. 보다 바람직하게, 척킹 전압은 적어도 2000 볼트의 크기를 갖는다. 보다 바람직하게, 다양한 실시예들은 -2000 볼트 내지 -3000 볼트의 척킹 전압을 갖는다.
다른 실시예들이 유도 커플링된 프로세스 챔버 내에서 사용될 수도 있다. 다른 실시예들은 내측 가열 존들 및 외측 가열 존들을 갖는 정전 척을 사용할 수도 있다. 내측 존들 및 외측 존들을 제공하기 위해, 시일 밴드들은 내측 존들 및 외측 존들을 격리하는 (isolate) 상승된 마루들 (ridges) 일 수도 있다. 또한, 시일 밴드 사이에 메사들 (mesas) 이 배치될 수도 있으며 메사들은 추가적으로 지지를 제공할 수도 있다.
본 발명은 몇몇 바람직한 실시예들로 기술하였지만, 본 발명의 범위 내에 포함되는 변형, 수정, 치환 및 다양한 대체 등가물이 있다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 대안적인 방식들이 있음을 주지하여야 한다. 따라서 다음 청구 범위는 본 발명의 진정한 사상 및 범위 내에 포함되는 그러한 모든 변형, 수정, 치환 및 다양한 대체 등가물을 모두 포함하는 것으로 해석되도록 의도된 것이다.

Claims (18)

  1. 플라즈마 프로세싱 챔버 내의 정전 척의 헬륨 분배 채널들에서 아크 (arcing) 를 억제하는 방법에 있어서, 상기 정전 척은 척킹 전압을 제공하는 전압 소스에 연결되고, 상기 플라즈마 프로세싱 챔버는 프로세싱 가스 소스 및 상기 프로세스 가스를 플라즈마로 변환하는 플라즈마 전력 소스를 포함하고, 상기 방법은,
    가스를 웨이퍼의 후면에 정전 척의 상기 헬륨 분배 채널들을 통해 흘리는 단계를 포함하고, 상기 가스는,
    헬륨; 및
    음전성 (electronegative) 가스를 포함하는, 아크를 억제하는 방법.
  2. 제 1 항에 있어서,
    상기 음전성 가스는 O2, F2, Cl2, 및 SiH4 중 적어도 하나를 포함하는, 아크를 억제하는 방법.
  3. 제 2 항에 있어서,
    상기 전압 소스로부터 척킹 전압을 인가하는 단계;
    프로세스 가스를 상기 프로세스 가스 소스로부터 상기 웨이퍼의 전면으로 흘리는 단계; 및
    상기 프로세스 가스를 플라즈마로 형성하는 단계를 더 포함하는, 아크를 억제하는 방법.
  4. 제 3 항에 있어서,
    상기 척킹 전압은 적어도 500 볼트의 크기를 갖는, 아크를 억제하는 방법.
  5. 제 4 항에 있어서,
    상기 프로세스 가스를 플라즈마로 형성하는 상기 단계는 상기 플라즈마 전력 소스로부터 상기 프로세스 가스로 평균 3,000 와트 이상의 RF 전력을 제공하는 단계를 포함하는, 아크를 억제하는 방법.
  6. 제 5 항에 있어서,
    상기 냉각 가스는 본질적으로 He 및 O2로 이루어지는, 아크를 억제하는 방법.
  7. 제 1 항에 있어서,
    상기 전압 소스로부터 척킹 전압을 인가하는 단계;
    프로세스 가스를 상기 프로세스 가스 소스로부터 상기 웨이퍼의 전면으로 흘리는 단계; 및
    상기 프로세스 가스를 플라즈마로 형성하는 단계를 더 포함하는, 아크를 억제하는 방법.
  8. 제 7 항에 있어서,
    상기 프로세스 가스를 플라즈마로 형성하는 상기 단계는 상기 플라즈마 전력 소스로부터 상기 프로세스 가스로 평균 3,000 와트 이상의 RF 전력을 제공하는 단계를 포함하는, 아크를 억제하는 방법.
  9. 제 1 항에 있어서,
    상기 척킹 전압은 적어도 500 볼트의 크기를 갖는, 아크를 억제하는 방법.
  10. 제 1 항에 있어서,
    상기 냉각 가스는 본질적으로 He 및 O2로 이루어지는, 아크를 억제하는 방법.
  11. 웨이퍼를 플라즈마 프로세싱하기 위한 장치에 있어서,
    웨이퍼를 지지하기 위한 정전 척으로서, 상기 정전 척은 상기 웨이퍼의 후면에 냉각 가스를 제공하기 위한 헬륨 분배 채널들을 갖는, 상기 정전 척; 및
    헬륨 분배 채널들과 유체 연결 (fluid connection) 된 헬륨 및 음전성 가스 소스를 포함하는, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  12. 제 11 항에 있어서,
    상기 헬륨 및 음전성 가스 소스는,
    헬륨 소스; 및
    음전성 가스 소스를 포함하는, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  13. 제 12 항에 있어서,
    상기 음전성 가스 소스는 산소 가스 소스인, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  14. 제 13 항에 있어서,
    상기 정전 척을 둘러싸는 플라즈마 챔버;
    상기 플라즈마 챔버와 유체 연결된 프로세스 가스 소스; 및
    상기 프로세스 가스를 플라즈마로 변환하기 위해 상기 플라즈마 챔버에 커플링되는 플라즈마 전력 소스를 더 포함하는, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  15. 제 14 항에 있어서,
    적어도 2,000 볼트의 크기를 갖는 바이어스 전압을 제공할 수 있는 정전 척킹 전압 소스를 더 포함하는, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  16. 제 11 항에 있어서,
    상기 정전 척을 둘러싸는 플라즈마 챔버;
    상기 플라즈마 챔버와 유체 연결된 프로세스 가스 소스; 및
    상기 프로세스 가스를 플라즈마로 변환하기 위해 상기 플라즈마 챔버에 커플링되는 플라즈마 전력 소스를 더 포함하는, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  17. 제 11 항에 있어서,
    적어도 2,000 볼트의 크기를 갖는 바이어스 전압을 제공할 수 있는 정전 척킹 전압 소스를 더 포함하는, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
  18. 제 11 항에 있어서,
    상기 헬륨 및 음전성 가스 소스는 헬륨 및 산소의 혼합물을 포함하는 용기를 포함하고, 상기 산소는 몰비로 측정된 혼합물의 1% 내지 30%인, 웨이퍼를 플라즈마 프로세싱하기 위한 장치.
KR1020197016581A 2016-11-11 2017-10-19 플라즈마 라이트 업 억제 KR102423975B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/349,918 US10535505B2 (en) 2016-11-11 2016-11-11 Plasma light up suppression
US15/349,918 2016-11-11
PCT/US2017/057454 WO2018089180A1 (en) 2016-11-11 2017-10-19 Plasma light up suppression

Publications (2)

Publication Number Publication Date
KR20190070363A true KR20190070363A (ko) 2019-06-20
KR102423975B1 KR102423975B1 (ko) 2022-07-21

Family

ID=62108030

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197016581A KR102423975B1 (ko) 2016-11-11 2017-10-19 플라즈마 라이트 업 억제

Country Status (5)

Country Link
US (1) US10535505B2 (ko)
KR (1) KR102423975B1 (ko)
CN (1) CN109952636B (ko)
TW (1) TW201828779A (ko)
WO (1) WO2018089180A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210046150A (ko) 2019-10-18 2021-04-28 세메스 주식회사 기판 처리 시스템 및 방법

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
WO2020092412A1 (en) * 2018-11-01 2020-05-07 Lam Research Corporation High power electrostatic chuck with features preventing he hole light-up/arcing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048954A1 (en) * 2005-08-25 2007-03-01 Denso Corporation Method for etching and apparatus for etching
US20100216317A1 (en) * 2009-01-28 2010-08-26 Applied Materials, Inc. Methods for Forming Conformal Oxide Layers on Semiconductor Devices

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2867679A (en) * 1952-12-04 1959-01-06 Gen Electric Gas composition for cooling and insulating purposes
JPH03269924A (ja) * 1990-03-19 1991-12-02 Hitachi Ltd ガス遮断器
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6016023A (en) * 1998-05-12 2000-01-18 Ultra Sonus Ab Tubular ultrasonic transducer
US5955823A (en) * 1998-05-12 1999-09-21 Ultra Sonus Ab High power ultrasonic transducer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
JP3693972B2 (ja) * 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
JP4322484B2 (ja) * 2002-08-30 2009-09-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN1228820C (zh) * 2002-09-04 2005-11-23 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US6946403B2 (en) * 2003-10-28 2005-09-20 Axcelis Technologies, Inc. Method of making a MEMS electrostatic chuck
JP4468194B2 (ja) * 2005-01-28 2010-05-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US7393460B2 (en) 2005-03-29 2008-07-01 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US8076247B2 (en) 2007-01-30 2011-12-13 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
WO2010038429A1 (ja) * 2008-09-30 2010-04-08 大陽日酸株式会社 鋼板のガスシールドアークブレージング方法
JP2010182763A (ja) * 2009-02-04 2010-08-19 Hitachi High-Technologies Corp プラズマ処理装置
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US20110024049A1 (en) 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
AU2012305500B2 (en) * 2011-09-07 2015-10-08 Mitsubishi Electric Corporation Tank-type breaker
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
JP2015069770A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9273393B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Torch system for depositing protective coatings on interior walls and recesses present on the flat surface of an object
US9343252B2 (en) * 2014-08-27 2016-05-17 Eaton Corporation Arc extinguishing contact assembly for a circuit breaker assembly
JP6524534B2 (ja) * 2016-03-09 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
CN108087735A (zh) * 2018-01-15 2018-05-29 佛山电器照明股份有限公司 一种高效散热的led灯泡

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048954A1 (en) * 2005-08-25 2007-03-01 Denso Corporation Method for etching and apparatus for etching
US20100216317A1 (en) * 2009-01-28 2010-08-26 Applied Materials, Inc. Methods for Forming Conformal Oxide Layers on Semiconductor Devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210046150A (ko) 2019-10-18 2021-04-28 세메스 주식회사 기판 처리 시스템 및 방법

Also Published As

Publication number Publication date
CN109952636A (zh) 2019-06-28
US10535505B2 (en) 2020-01-14
CN109952636B (zh) 2024-03-26
TW201828779A (zh) 2018-08-01
WO2018089180A1 (en) 2018-05-17
US20180138021A1 (en) 2018-05-17
KR102423975B1 (ko) 2022-07-21

Similar Documents

Publication Publication Date Title
KR101847866B1 (ko) 다층막을 에칭하는 방법
KR102423975B1 (ko) 플라즈마 라이트 업 억제
JP5281309B2 (ja) プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US9779961B2 (en) Etching method
KR100891754B1 (ko) 기판 처리실의 세정 방법, 기억 매체 및 기판 처리실
US20070186952A1 (en) Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
KR101937727B1 (ko) 에칭 방법
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR101957348B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20140051090A (ko) 구리층을 에칭하는 방법 및 마스크
US10192750B2 (en) Plasma processing method
KR20150069514A (ko) 에칭 방법
KR101835683B1 (ko) 다층막을 에칭하는 방법
KR20140092257A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US10553409B2 (en) Method of cleaning plasma processing apparatus
US20210313151A1 (en) Plasma processing apparatus
KR102348077B1 (ko) 플라즈마 처리 방법
KR20160140469A (ko) 에칭 방법
US10867778B2 (en) Cleaning method and processing apparatus
KR20170053134A (ko) 피처리체를 처리하는 방법
US9711371B2 (en) Method of etching organic film
KR101262904B1 (ko) 플라즈마 식각 장치
WO2020100885A1 (ja) エッチング方法およびエッチング装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant