CN109841572A - 制造半导体器件的方法 - Google Patents

制造半导体器件的方法 Download PDF

Info

Publication number
CN109841572A
CN109841572A CN201811416261.6A CN201811416261A CN109841572A CN 109841572 A CN109841572 A CN 109841572A CN 201811416261 A CN201811416261 A CN 201811416261A CN 109841572 A CN109841572 A CN 109841572A
Authority
CN
China
Prior art keywords
pattern
preliminary
gate structure
interlayer insulating
insulating pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811416261.6A
Other languages
English (en)
Inventor
崔庆寅
韩尚勋
金善政
金泰坤
宋炫彻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109841572A publication Critical patent/CN109841572A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

一种制造半导体器件的方法,所述方法包括:在衬底上形成伪栅极结构;在所述伪栅极结构的侧壁上形成间隔件;形成初步第一层间绝缘图案以填充相邻间隔件之间的间隙;通过第一蚀刻工艺蚀刻所述初步第一层间绝缘图案的上部,以形成初步第二层间绝缘图案;通过离子注入工艺在所述伪栅极结构、所述间隔件和所述初步第二层间绝缘图案上注入离子;通过第二蚀刻工艺蚀刻所述初步第二层间绝缘图案的上部,以形成具有平坦上表面的层间绝缘图案;以及在所述层间绝缘图案上形成覆盖图案以填充所述间隔件之间的间隙。

Description

制造半导体器件的方法
相关申请的交叉引用
于2017年11月27日在韩国知识产权局提交的名称为“制造半导体器件的方法”的韩国专利申请No.10-2017-0159654的全部内容通过引用结合于此。
技术领域
实施例涉及制造半导体器件的方法。
背景技术
实施例涉及制造半导体器件的方法。
发明内容
可以通过提供制造半导体器件的方法来实现实施例,所述方法包括:在衬底上形成伪栅极结构;在所述伪栅极结构的侧壁上形成间隔件;形成初步第一层间绝缘图案以填充相邻间隔件之间的间隙;通过第一蚀刻工艺蚀刻所述初步第一层间绝缘图案的上部,以形成初步第二层间绝缘图案;通过离子注入工艺在所述伪栅极结构、所述间隔件和所述初步第二层间绝缘图案上注入离子;通过第二蚀刻工艺蚀刻所述初步第二层间绝缘图案的上部,以形成具有平坦上表面的层间绝缘图案;以及在所述层间绝缘图案上形成覆盖图案以填充所述间隔件之间的间隙。
可以通过提供制造半导体器件的方法来实现实施例,所述方法包括:在衬底上形成伪栅极结构;形成初步第一层间绝缘图案以填充所述伪栅极结构之间的间隙;通过第一蚀刻工艺蚀刻所述初步第一层间绝缘图案的上部,以形成具有圆形底部的初步凹槽和在所述初步凹槽下方的初步第二层间绝缘图案;在所述伪栅极结构和所述初步第二层间绝缘图案上注入离子;通过第二蚀刻工艺蚀刻所述初步第二层间绝缘图案的一部分,以形成具有平坦底部的凹槽和所述凹槽下方的层间绝缘图案;在所述层间绝缘图案上形成覆盖图案;以及用栅极结构置换所述伪栅极结构。
可以通过提供制造半导体器件的方法来实现实施例,所述方法包括:在衬底上形成栅极结构;形成初步层间绝缘图案以填充所述栅极结构之间的间隙;在所述栅极结构和所述初步层间绝缘图案上形成掩模图案以覆盖接触形成区域;使用所述掩模图案作为蚀刻掩模,部分地蚀刻所述初步层间绝缘图案的上部,以形成凹槽和所述凹槽下方的层间绝缘图案;在所述层间绝缘图案上形成覆盖图案以填充所述凹槽;在所述栅极结构、所述层间绝缘图案和所述覆盖图案上注入离子;以及蚀刻掺杂有离子的层间绝缘图案以形成接触孔。
附图说明
通过参考附图详细描述示例性实施例,特征对于本领域技术人员来说将是显而易见的,在附图中:
图1至图15示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图;
图16至图17示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图;
图18至图21示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图;
图22至图29示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图;以及
图30至图32示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图。
具体实施方式
在每个附图中,左侧部分示出了栅极结构的中央部分沿着第二方向截取的横截面,并且右侧部分例示了栅极结构的有源鳍部分沿着第一方向截取的横截面。第一和第二方向与衬底的上表面平行并且彼此垂直。
图1至图15示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图。
参考图1,可以部分地蚀刻衬底100的上部以形成沟槽102。当在衬底100上形成沟槽102时,可以在衬底100处形成有源鳍105。可以形成隔离图案104以填充沟槽102的下部。初步伪栅极结构114可以形成在有源鳍105和隔离图案104上。间隔件116可以形成在初步伪栅极结构114的侧壁上。
衬底100可以包括单晶半导体材料,例如硅、锗或硅锗。在一种实施方式中,衬底100可以是绝缘体上硅(SOI)衬底或绝缘体上锗(GOI)衬底。
在一种实施方式中,有源鳍105可以沿第一方向延伸,并且可以沿第二方向布置。
在一种实施方式中,为了形成隔离图案104,可以在衬底100上形成隔离层以充分填充沟槽102,并且可以将隔离层平坦化,使得暴露衬底100的上表面。此后,可以去除隔离层的上部,使得暴露有源鳍105的上侧壁。例如,隔离层可以包括诸如氧化硅的氧化物。
有源鳍105可以包括下部有源图案105b以及上部有源图案105a,下部有源图案105b的侧壁被隔离图案104围绕,上部有源图案105a从隔离图案104向上突出。上部有源图案105a可提供为有源区。形成隔离图案104的区域可以提供为场区域。
初步伪栅极结构114可以包括伪栅极绝缘图案108、伪栅极图案110和掩模图案112。在下文中,将更全面地描述用于形成初步伪栅极结构114的方法。
可以在上部有源图案105a的表面上形成伪栅极绝缘层。可以在伪栅极绝缘层上形成伪栅极层,以完全填充上部有源图案105a之间的间隙。伪栅极层的上表面可以高于上部有源图案105a的上表面。
在一种实施方式中,伪栅极绝缘层可以包括氧化硅。在一种实施方式中,可以通过热氧化工艺形成伪栅极绝缘层。当通过热氧化工艺形成伪栅极绝缘层时,可以仅在上部有源图案105a的暴露表面上形成伪栅极绝缘层。在一种实施方式中,可以通过化学气相沉积工艺或原子层沉积工艺来形成伪栅极绝缘层。当通过化学气相沉积工艺或原子层沉积工艺形成伪栅极绝缘层时,可以在上部有源图案105a和隔离图案104上共形地形成伪栅极绝缘层。
在一种实施方式中,伪栅极层可以包括多晶硅。在一种实施方式中,可以通过化学气相沉积工艺或原子层沉积工艺来形成伪栅极层。
在伪栅极层上形成掩模图案112。可以使用掩模图案112作为蚀刻掩模来图案化伪栅极层和伪栅极绝缘层,以形成初步伪栅极结构114。例如,掩模图案112可以包括氮化硅或氮氧化硅。
在一种实施方式中,初步伪栅极结构114可以沿第二方向延伸,并且可以沿第一方向布置多个初步伪栅极结构114。
可以在初步伪栅极结构114、隔离图案104以及上部有源图案105a的表面上形成间隔层。可以对间隔层进行各向异性蚀刻,以在初步伪栅极结构114的侧壁上形成间隔件116。间隔层可以包括氮化硅或氮氧化硅。在一种实施方式中,可以通过化学气相沉积工艺或原子层沉积工艺形成间隔层。
参考图2,可蚀刻间隔件116之间的有源鳍105的上部以形成第一凹槽118。可在第一凹槽118中形成外延图案120以提供源极区/漏极区。
例如,可以使用初步伪栅极结构114和在初步伪栅极结构114的侧壁上形成的间隔件116作为蚀刻掩膜,通过干蚀刻工艺去除有源鳍105的上部,以形成第一凹槽118。
在一种实施方式中,可以利用用于形成间隔件116的蚀刻工艺原位地执行用于形成第一凹槽118的蚀刻工艺。
可以使用作为种子的有源鳍105的表面(其对应于第一凹槽118的底部)通过执行选择性外延生长(SEG)工艺来形成外延图案120。在一种实施方式中,沿第二方向彼此平行的多个外延图案120可以在其侧壁处彼此接触。在一种实施方式中,在形成外延图案120之后,可以进一步执行杂质注入工艺和热处理工艺以形成源极-漏极区。
参考图3,可以在初步伪栅极结构114、间隔件116、外延图案120和隔离图案104上形成初步第一层间绝缘层130。可以形成初步第一层间绝缘层130以完全填充初步伪栅极结构114之间的间隙并覆盖初步伪栅极结构114。
初步第一层间绝缘层130可以包括氧化硅。可以通过化学气相沉积工艺、旋涂玻璃(SOG)工艺或原子层沉积工艺形成初步第一层间绝缘层130。
参考图4,可以平坦化初步第一层间绝缘层130,使得暴露伪栅极图案110(其包含于初步伪栅极结构114中)的上表面。因此,可以形成在其中沉积伪栅极绝缘图案108和伪栅极图案110的伪栅极结构114a,并且可以在伪栅极结构114a之间形成初步第一层间绝缘图案130a。
平坦化工艺可以包括化学机械抛光(CMP)工艺和/或回蚀工艺。平坦化工艺可以去除掩模图案112。
参考图5,可以通过第一蚀刻工艺蚀刻初步第一层间绝缘图案130a的上部,以形成具有圆形或倒圆角形状的初步第二凹槽150。因此,可以在间隔件116附近形成具有相对突出的上表面的初步第二层间绝缘图案130b。例如,初步第二层间绝缘图案130b与间隔件116相邻的部分可以在远离衬底100的方向上突出,使得初步第二层间绝缘图案130b与间隔件116不相邻的部分比与初步第二层间绝缘图案130b与间隔件116相邻的部分更靠近衬底100。
在一种实施方式中,初步第二凹槽150可以具有大于待形成的目标第二凹槽的深度的1/2的深度。例如,初步第二凹槽150可以具有第二凹槽的目标深度的2/3至9/10的深度。
在第一蚀刻工艺中,在可以几乎不蚀刻包括氮化硅的间隔件116的同时,可以大量地蚀刻包括氧化硅的初步第一层间绝缘图案130a。可以执行第一蚀刻工艺,使得氧化硅和氮化硅之间的蚀刻选择性相对较高。
当通过第一蚀刻工艺蚀刻初步第一层间绝缘图案130a的上部时,与其他部分相比较,初步第一层间绝缘图案130a与间隔件116相邻的部分可以被较少地蚀刻。因此,初步第二凹槽150的底部可以不是平坦的,并且初步第二凹槽150可以沿第一方向在中心部分具有更深的形状。因此,沿第一方向,初步第二层间绝缘图案130b的上表面在间隔件116附近或相邻处可以比中央部分中更高。
在一种实施方式中,可以通过不使用等离子体的蚀刻工艺来形成初步第二凹槽150。用于形成初步第二凹槽150的蚀刻气体可包括例如HF和NH3
第一蚀刻工艺可以包括蚀刻反应工艺和用于在蚀刻反应工艺之后去除反应副产物的净化工艺或后处理工艺。
在一种实施方式中,可以在同一腔室中执行蚀刻反应工艺和净化工艺。例如,可以重复执行由蚀刻反应工艺和净化工艺组成的循环以蚀刻初步第一层间绝缘图案130a的上部。可以在相对高的温度和相对高的压力下执行蚀刻反应工艺和净化工艺。
在第一蚀刻工艺中,可以适当地调节腔室压力、蚀刻气体的流入比、循环次数和处理时间。在一种实施方式中,腔室压力可以是1.8至3托(Torr),HF和NH3的气体比可以是2:1至20:1,循环次数可以是1至10,和/或处理时间可以是1至10秒。
在一种实施方式中,可以在不同的腔室中执行蚀刻反应工艺和净化工艺。例如,可以在相对较低的压力下在环境温度或10℃至30℃的温度下执行蚀刻反应工艺,并且可以在高于蚀刻反应工艺的温度下执行净化工艺。
参考图6,可以在伪栅极图案110、间隔件116和初步第二凹槽150上注入离子。因此,可以在伪栅极图案110、间隔件116以及初步第二层间绝缘图案130b的上表面之下形成离子注入区域151。
在一种实施方式中,可以沿与衬底100的表面的垂直方向或沿与衬底100的表面倾斜预定倾角的方向注入离子。当沿垂直方向注入离子时,可以将离子注入到初步第二层间绝缘图案130b的上部,同时几乎不能注入到间隔件116的侧壁上。
可以执行离子注入工艺以改变间隔件116和/或初步第二层间绝缘图案130b的蚀刻特性。例如,掺杂离子的间隔件116可以具有与未掺杂离子的间隔件116不同的蚀刻特性。掺杂离子的初步第二层间绝缘图案130b可以具有与未掺杂离子的初步第二层间绝缘图案130b不同的蚀刻特性。
在一种实施方式中,用于离子注入工艺的离子可以包括例如硼、硅、砷、磷、氩、氮、碳、氧、BF2、氟、氢、氦、锗、镓、氪、氙等的离子。
在一种实施方式中,可以在离子注入工艺中使用彼此不同的多种掺杂剂。可以顺序地或一起(例如,同时)注入多种掺杂剂。
例如,当注入硼离子时,可以降低氧化硅的蚀刻率,而可以几乎不改变氮化硅的蚀刻率。例如,可以减慢氧化硅的蚀刻,并且可以降低氧化硅和氮化硅之间的蚀刻选择性。因此,可以精确地调整初步第二层间绝缘图案130b的蚀刻厚度,并且可以更快地去除初步第二层间绝缘图案130b的突出。在一种实施方式中,当注入硅离子时,可以增加氮化硅的蚀刻率,而可以几乎不改变氧化硅的蚀刻率。因此,初步第二层间绝缘图案130b的蚀刻率可以在包括氮化硅的间隔件116的附近增加。
在一种实施方式中,可以在例如-100℃至600℃的温度下执行离子注入工艺。在一种实施方式中,可以在离子注入工艺之后进一步执行退火工艺。
参考图7,可以通过第二蚀刻工艺部分地蚀刻初步第二凹槽150的底部,以形成具有基本上平坦的底部的第二凹槽150a。因此,可以形成具有平坦上表面的第一层间绝缘图案130c。
在一种实施方式中,初步第二层间绝缘图案130b在邻近间隔件116的区域比不邻近间隔件116的区域被第二蚀刻工艺蚀刻地更快。
第二蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性可以小于第一蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性。
在一种实施方式中,与第一蚀刻工艺相比,可以在第二蚀刻工艺中增加间隔件116的蚀刻率,或者可以减小初级第二层间绝缘图案130b的蚀刻率。
当增加间隔件116的蚀刻率时,初步第二层间绝缘图案130b在与间隔件116相邻的区域中的蚀刻率可以变得高于其他区域的刻蚀比。因此,第二凹槽150a的底部可以变平坦。当减小初步第二层间绝缘图案130b的蚀刻率时,初步第二层间绝缘图案130b的突出可以比其他部分更快地被蚀刻。因此,第二凹槽150a的底部可以变平坦。
在一种实施方式中,可以通过不使用等离子体的蚀刻工艺来形成第二凹槽150a。用于形成第二凹槽150a的蚀刻气体可包括HF和NH3
在一种实施方式中,腔室压力可以是1.8至3托,HF和NH3的气体比可以是2:1至20:1,循环次数可以是1至10,和/或该工艺时间可以是1至10秒。
在一种实施方式中,可以在与第一蚀刻工艺相同的条件下执行第二蚀刻工艺。
在一种实施方式中,可以在与第一蚀刻工艺不同的条件下执行第二蚀刻工艺。例如,当在先前工艺中注入硅离子或碳离子时,可以降低HF和NH3的气体比并且可以增加腔室压力以降低氧化硅的蚀刻率。
当执行第二蚀刻工艺时,可以部分地蚀刻间隔件116的上部,使得可以减小间隔件116的高度。
参考图8,可以在伪栅极图案110、间隔件116和第一层间绝缘图案130c上形成覆盖层,以完全填充第二凹槽150a。此后,可以平坦化覆盖层,使得暴露伪栅极图案110和间隔件116的上表面,使得在第二凹槽150a中形成初步第一覆盖图案132a。
覆盖层可包括氮化硅。可以通过化学气相沉积工艺或原子层沉积工艺形成覆盖层。
因为第二凹槽150a的底部是平坦的,所以初步第一覆盖图案132a的底表面可以是平坦的。因此,第一层间绝缘图案130c可以几乎不插入在初步第一覆盖图案132a和间隔件116之间。在一种实施方式中,第一层间绝缘图案130c介于初步第一覆盖图案132a和间隔件116之间的横向厚度可以等于或小于3nm。
如果第二凹槽具有圆形底部(与上述示例实施例不同),则第一层间绝缘图案可以插入在初步第一覆盖图案和间隔件之间。因此,初步第一覆盖图案可以在间隔件的侧壁附近或邻近处具有非常薄的垂直厚度。因此,通过后续工艺可以完全去除在邻近间隔件的侧壁的区域中的初步第一覆盖图案。因此,初步第一覆盖图案下的第一层间绝缘图案可以被暴露并且可以被过度蚀刻。
然而,在示例实施例中,初步第一覆盖图案132a可以具有平坦的底表面。因此,初步第一覆盖图案132a可以不具有较小垂直厚度的较薄部分,并且可以在整个部分中具有均匀的垂直厚度。因此,在后续工艺中,第一层间绝缘图案130c可以被初步第一覆盖图案132a充分保护。
参考图9,可以去除伪栅极图案110,并且可以去除伪栅极图案110下方的伪栅极绝缘图案108。因此,可以形成开口135以暴露有源鳍105的表面。包括开口135的结构可以提供为用于形成栅极结构的模具。去除工艺可以包括各向同性蚀刻工艺。
在形成开口135的过程中,可以部分地蚀刻间隔件116和初步第一覆盖图案132a。因此,可以形成相对于初步第一覆盖图案132a具有更小或减小的高度的初步第二覆盖图案132b。
初步第二覆盖图案132b可以完全覆盖第一层间绝缘图案130c的上表面,以便可以不暴露第一层间绝缘图案130c的任何部分。因此,在去除伪栅极绝缘图案108的过程中,初步第二覆盖图案132b可以保护第一层间绝缘图案130c,以便可以不去除或损坏第一层间绝缘图案130c。
如果初步第一覆盖图案不具有平坦底表面(与示例实施例不同),则在形成开口的工艺中可以部分地去除初步第二覆盖图案以暴露第一层间绝缘图案的一部分。伪栅极绝缘层和第一层间绝缘图案可以由氧化硅形成,并且当去除伪栅极绝缘层时,可以过蚀刻暴露的第一层间绝缘图案。因此,可以在第一层间绝缘图案的上表面处形成深凹陷。
参考图10,可以在开口135中形成初步栅极结构137。
例如,可以在有源鳍105通过开口135暴露的上表面上执行热氧化工艺以形成界面层。可以在界面层、隔离图案104、间隔件116和初步第二覆盖图案132b上共形地形成栅极绝缘层。可以在栅极绝缘层上形成栅电极层以完全填充开口135。此后,可以平坦化栅电极层,使得暴露初步第二覆盖图案132b的上表面。因此,可以形成初步栅极结构137,其中沉积了初步栅极绝缘图案134和初步栅极电极136。
栅极绝缘层可以包括介电常数高于氮化硅的金属氧化物。在一种实施方式中,栅极绝缘层可以包括例如氧化铪(HfO2)、氧化钽(Ta2O5)、氧化锆(ZrO2)等。可以通过化学气相沉积工艺或原子层沉积工艺来形成栅极绝缘层。
例如,栅电极层可以包括诸如钨、铝、铜、钽或钛的金属或其氮化物。可以通过化学气相沉积工艺、原子层沉积工艺或物理气相沉积工艺来形成栅电极层。
参考图11,可以部分地蚀刻设置在开口135的上部中的初步栅极绝缘图案134和初步栅电极136,以形成第三凹槽138。因此,可以在开口135的下部形成栅极绝缘图案134a和栅电极136a。
在蚀刻工艺中,可以部分地蚀刻间隔件116和初步第二覆盖图案132b。因此,可以形成高度小于初步第二覆盖图案132b的第一覆盖图案132c。
第一覆盖图案132c可以完全覆盖第一层间绝缘图案130c,以便可以不暴露第一层间绝缘图案130c的任何部分。此外,可以不在第一层间绝缘图案130c的上表面处形成凹陷。因此,当形成栅极绝缘图案134a和栅电极136a时,第一覆盖图案132c可以保护第一层间绝缘图案130c。
参考图12,可以在栅极绝缘图案134a、栅电极136a和第一覆盖图案132c上形成硬掩模层以填充第三凹槽138。此后,可以平坦化硬掩模层,使得暴露第一层间绝缘图案130c的上表面,以形成第一硬掩模140。可以通过平坦化工艺完全去除第一覆盖图案132c。
因此,可以形成栅极结构142,其中栅极绝缘图案134a、栅电极136a和第一硬掩模140沉积在开口135中。
在一种实施方式中,硬掩模层可以包括氮化硅。可以通过化学气相沉积工艺或原子层沉积工艺来形成硬掩模层。
如果要在第一层间绝缘图案的上表面处形成凹陷(与示例实施例不同),则在抛光工艺之后硬掩模层可以部分地保留在凹陷中。
然而,在示例实施例中,凹陷可以不形成在第一层间绝缘图案130c的上表面处,并且在抛光工艺之后硬掩模层可以不保留在第一层间绝缘图案130c上。
参考图13,可以在第一层间绝缘图案130c和第一硬掩模140上形成蚀刻掩模图案144。可以使用蚀刻掩模图案144蚀刻第一层间绝缘图案130c,使得暴露外延图案120的上部。因此,可以在栅极结构142之间形成接触孔146。
蚀刻掩模图案144可以包括开口,该开口暴露第一层间绝缘图案130c的用于形成接触孔的一部分。栅极结构142也可以通过开口暴露。
在蚀刻工艺中,可以选择性地蚀刻间隔件116之间的第一层间绝缘图案130c。然而,当执行蚀刻工艺时,可以部分地蚀刻由氮化硅形成的第一硬掩模140和间隔件116。因此,接触孔146的上部宽度可以大于其下部宽度。接触孔146具有相对较大宽度的部分可以设置为高于栅电极136a的上表面。
当执行蚀刻工艺时,如果硬掩模层保留在第一层间绝缘图案130c上(与示例实施例不同),则第一层间绝缘图案130c可以在硬掩模层下方保持未被蚀刻。由此,接触孔可以不暴露外延图案。因此,接触孔可能不会打开,从而导致接触未打开缺陷。
然而,在示例实施例中,硬掩模层可以不保留在第一层间绝缘图案130c上。因此,可以减少接触未打开缺陷。
此后,可以去除蚀刻掩模图案144。
参考图14,导电层148可以形成在第一硬掩模140、间隔件116和第一层间绝缘图案130c上,以完全填充接触孔146。
在一种实施方式中,导电层148可以包括诸如钨、铜、铝等的金属。可以通过化学气相沉积工艺、原子层沉积工艺或物理气相沉积工艺来形成导电层148。
参考图15,可以平坦化导电层148,使得暴露第一硬掩模140的上表面,以在接触孔146中形成接触插塞148a。通过上述工艺,可以形成鳍式场效应晶体管。
如上所述,第二凹槽150a可以具有平坦底部,并且第一覆盖图案132c的底表面可以是平坦的。因此,在执行用于形成栅极结构142的工艺时,第一覆盖图案132c可以保留以保护第一覆盖图案132c之下的第一层间绝缘图案130c。因此,当通过蚀刻第一层间绝缘图案130c形成接触插塞时,可以减少接触未打开缺陷。
此外,在执行用于形成栅极结构142的工艺时,可能几乎不消耗第一层间绝缘图案130c。因此,可以减小伪栅极结构114a的高度。因此,可以减小待蚀刻以形成接触孔146的第一层间绝缘图案130c的厚度。因此,可以减小在用于形成接触插塞148a的平坦化工艺中的导电层148的抛光厚度。
图16和图17示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图。
除了用于形成第二凹槽的工艺之外,用于制造半导体器件的方法可以与先前参考图1至图15说明的方法基本相同。
如图5中所示,可以通过执行与先前参考图1至图5说明的工艺基本相同或类似的工艺在伪栅极结构114a上的间隔件116之间形成具有圆形底部的初步第二层间绝缘图案130b。
参考图16,可以在伪栅极图案110和间隔件116上注入离子。因此,可以在伪栅极图案110和间隔件116的表面部分处形成离子注入区域151。
在一种实施方式中,离子可以主要掺杂在间隔件116的侧壁上,而几乎不掺杂在初步第二层间绝缘图案130b上。在一种实施方式中,可以沿与衬底100的表面倾斜预定角度的方向注入离子。例如,离子注入角度可以是-45°至45°。
可以执行离子注入工艺以改变间隔件116的蚀刻特性。例如,掺杂有离子的间隔件116可以具有与未掺杂离子的间隔件116不同的蚀刻特性。
在一种实施方式中,离子注入工艺中的离子和温度可以与先前参考图6说明的那些基本相同。
在一种实施方式中,可以在离子注入工艺中使用彼此不同的多种掺杂剂。可以顺序或同时注入各掺杂剂。
在一种实施方式中,可以在离子注入工艺之后进一步执行退火工艺。
参考图17,可以通过第二蚀刻工艺蚀刻初步第二凹槽150的底部,以形成具有基本平坦底部的第二凹槽150a。因此,可以形成具有平坦上表面的第一层间绝缘图案130c。
在一种实施方式中,第二蚀刻工艺可以比在与间隔件116不相邻的区域中更快地蚀刻与间隔件116相邻的区域中的初步第二层间绝缘图案130b。因此,第二凹陷150a的底部可以变得基本平坦。
第二蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性可以小于第一蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性。
在一种实施方式中,可以调整工艺条件,使得与第一蚀刻工艺相比,可以在第二蚀刻工艺中增加间隔件116的蚀刻率,或者可以减小初步第二层间绝缘图案130b的蚀刻率。在一种实施方式中,可以在与第一蚀刻工艺相同的条件下执行第二蚀刻工艺。
此后,可以执行与先前参考图8至图15说明的基本上相同的工艺。因此,可以形成鳍式场效应晶体管。
图18至图21示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图。
除了用于形成第二凹槽的工艺之外,用于制造半导体器件的方法可以与先前参考图1至图15说明的方法基本相同。
如图4中所示,可以通过执行与先前参考图1至图4说明的工艺基本相同或类似的工艺来形成填充伪栅极结构114a上的间隔件116之间的间隙的初步第一层间绝缘图案130a。
参考图18,可以部分地蚀刻初步第一层间绝缘图案130a的上部以形成具有圆形底部的初步第二凹槽152。因此,可以形成具有与间隔件116相邻的突出的初步第二层间绝缘图案131a。
在一种实施方式中,初步第二凹槽152的深度可以小于待形成的目标第二凹槽的深度的1/2。例如,初步第二凹槽152可以具有第二凹槽的目标深度的1/3至1/2的深度。蚀刻工艺可以与先前参考图5说明的工艺基本相同。
此后,可以在伪栅极图案110、间隔件116和初步第二凹槽152上注入离子。因此,可以在伪栅极图案110、间隔件116和初步第二层间绝缘图案131a的上表面下方形成离子注入区域151a。
在一种实施方式中,离子注入工艺可以与先前参考图6说明的工艺基本相同。
在一种实施方式中,离子注入工艺可以与先前参考图16说明的工艺基本相同。因此,可以仅在伪栅极图案110的上表面处和在间隔件116的暴露的侧壁上形成离子注入区域。
参考图19,可以部分地蚀刻初步第二凹槽152的底部以形成具有基本平坦的底部的初步第三凹槽152a。因此,可以形成具有平坦上表面的初步第三层间绝缘图案131b。
在一种实施方式中,蚀刻工艺可以与先前参考图7说明的工艺基本相同。
参考图20,可以部分地蚀刻初步第三层间绝缘图案131b的上部以形成具有圆形底部的初步第四凹槽152b。因此,可以形成在间隔件116附近突出的初步第四层间绝缘图案131c。
在一种实施方式中,初步第四凹槽152b的深度可以大于待形成的目标第二凹槽的深度的1/2。例如,初步第四凹槽152b可以具有第二凹槽的目标深度的2/3至9/10的深度。蚀刻工艺可以与先前参考图5说明的工艺基本相同。
此后,可以在伪栅极图案110、间隔件116和初步第四凹槽152b上注入离子。因此,可以在伪栅极图案110、间隔件116和初步第四层间绝缘图案131c的上表面下方形成离子注入区域151a。
在一种实施方式中,离子注入工艺可以与先前参考图6说明的工艺基本相同。
在一种实施方式中,离子注入工艺可以与先前参考图16说明的工艺基本相同。
参考图21,可以蚀刻初步第四凹槽152b的底部以形成具有基本上平坦的底部的第二凹槽150a。因此,可以形成具有平坦上表面的第一层间绝缘图案130c。
在一种实施方式中,蚀刻工艺可以与先前参考图7说明的工艺基本相同。
在一种实施方式中,可以通过多个循环重复执行用于形成初步凹槽的蚀刻工艺、离子注入工艺和用于形成具有平坦底部的凹槽的蚀刻工艺以形成第二凹槽150a。
此后,工艺与先前参考图8至图15说明的工艺基本相同。因此,可以形成鳍式场效应晶体管。
图22至图29示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图。
可以在衬底100上形成多个栅极结构142、间隔件116和第一层间绝缘图案130c。间隔件116可以设置在栅极结构142的侧壁上。第一层间绝缘图案130c可填充间隔件116之间的间隙。
在一种实施方式中,可以通过执行与先前参考图1至图12说明的工艺基本相同或类似的工艺来形成栅极结构142、间隔件116和第一层间绝缘图案130c。在一种实施方式中,可以通过执行先前参考图16和图17说明的工艺来或先前参考图18至图21说明的工艺来形成栅极结构142、间隔件116和第一层间绝缘图案130c。
参考图22和图23,可以在栅极结构142、间隔件116和第一层间绝缘图案130c上形成蚀刻掩模图案160。可以使用蚀刻掩模图案160部分地蚀刻第一层间绝缘图案130c,以在衬底100上形成绝缘图案161。可以在绝缘图案161上形成初步开口162。
在一种实施方式中,蚀刻掩模图案160可以具有这样形状:在不形成接触孔的区域中暴露第一层间绝缘图案130c,并且在形成接触孔的区域中覆盖第一层间绝缘图案130c。
当执行蚀刻工艺时,可以部分地蚀刻由蚀刻掩模图案160暴露的区域中的第一硬掩模140和间隔件116。
在蚀刻工艺之后,可以去除蚀刻掩模图案160。
参考图24,可以在第一层间绝缘图案130c和第一硬掩模140上形成覆盖层164,以填充初级开口162。
在一种实施方式中,覆盖层164可以包括氮化硅。可以通过化学气相沉积工艺或原子层沉积工艺形成覆盖层164。
参考图25,可以平坦化覆盖层164,使得暴露第一层间绝缘图案130c的上表面,以形成第二覆盖图案164a。
因此,绝缘图案161和第二覆盖图案164a可以沉积在不形成接触孔的区域中。此外,第一层间绝缘图案130c可以保留在将在后续工艺中形成接触孔的区域中。
参考图26,可以在第一层间绝缘图案130c和第二覆盖图案164a上注入离子。因此,可以在与第一层间绝缘图案130c和第二覆盖图案164a的上表面相邻的区域中形成离子注入区域。
可以执行离子注入工艺以改变第一层间绝缘图案130c和/或第二覆盖图案164a的蚀刻特性。在一个示例实施例中,可以通过离子注入工艺增加氧化硅和氮化硅之间的蚀刻选择性,使得在后续工艺中可以选择性地蚀刻第一层间绝缘图案130c。
此外,离子注入工艺可以去除可能在第二覆盖图案164a中产生的接缝(seam)。
在一种实施方式中,可以沿垂直于衬底100的表面的方向注入离子。在一种实施方式中,可以沿倾斜于衬底100的表面的方向注入离子。例如,离子注入角度可以是-45°至45°。
在一种实施方式中,离子注入工艺中的离子和温度可以与先前参考图6说明的那些基本相同。
在一种实施方式中,可以在离子注入工艺中使用彼此不同的多种掺杂剂。可以顺序或同时注入各掺杂剂。
此外,可以在离子注入工艺之后进一步执行退火工艺。
参考图27,可以部分地蚀刻第一层间绝缘图案130c以形成初步第一接触孔166。第一层间绝缘图案130c可以部分地保留在初步第一接触孔166的底部上。
第二覆盖图案164a可以不包括接缝。此外,在蚀刻工艺中可以几乎不蚀刻第二覆盖图案164a。因此,在蚀刻工艺中可以防止(由于接缝的)第二覆盖图案164a的过度蚀刻。
参考图28,可以蚀刻保留在初步第一接触孔166的底部上的第一层间绝缘图案130c,以形成第一接触孔166a。外延图案120的表面可以暴露在第一接触孔166a的底部。
参考图29,可以在第一接触孔166a中形成接触插塞170。用于形成接触插塞170的工艺可以与先前参考图13说明的工艺基本相同。因此,可以形成鳍式场效应晶体管。
图30至图32示出了根据示例实施例的制造半导体器件的方法中的各阶段的截面图。
可以在衬底100上形成多个栅极结构142、间隔件116和第一层间绝缘图案130c。间隔件116可以设置在栅极结构142的侧壁上。第一层间绝缘图案130c可填充间隔件116之间的间隙。
在一种实施方式中,可以通过执行与先前参考图1至图12说明的工艺基本相同或类似的工艺来形成栅极结构142、间隔件116和第一层间绝缘图案130c。在一种实施方式中,可以通过执行先前参考图16和图17说明的工艺或先前参考图18至图21说明的工艺来形成栅极结构142、间隔件116和第一层间绝缘图案130c。
此后,可以通过与先前参考图22至图25说明的工艺相同的工艺,在不形成接触孔的区域中沉积绝缘图案161和第二覆盖图案164a。
参考图30,可以部分地蚀刻第一层间绝缘图案130c以形成初步第一接触孔166。第一层间绝缘图案130c可以部分地保留在初步第一接触孔166的底部上。
可以通过初步第一接触孔166暴露间隔件116的侧壁。
参考图31,可以在第一层间绝缘图案130c、第一硬掩模140、第二覆盖图案164a和通过初步第一接触孔166暴露的间隔件116的侧壁上注入离子。
在一种实施方式中,可以沿倾斜于衬底100的表面的方向注入离子。例如,离子注入角度可以是-45°至45°。
在一种实施方式中,可以将离子注入通过初步第一接触孔166暴露的间隔件116的侧壁上,同时可以将离子几乎不注入第一层间绝缘图案130c上。可以执行离子注入工艺以改变间隔件116和第一硬掩模140的蚀刻特性。例如,掺杂有离子的间隔件116可以具有与未掺杂离子的间隔件116不同的蚀刻特性。
在一种实施方式中,可以沿垂直于衬底100的表面的方向注入离子。因此,可以在第一层间绝缘图案130c和第一硬掩模140的上表面下方形成离子注入区域。
在一种实施方式中,离子注入工艺中的离子和温度可以与先前参考图6说明的那些基本相同。
在一种实施方式中,可以在离子注入工艺中使用彼此不同的多种掺杂剂。可以顺序或同时注入各掺杂剂。
在一种实施方式中,可以在离子注入工艺之后进一步执行退火工艺。
参考图32,可以蚀刻保留在初步第一接触孔166的底部上的第一层间绝缘图案130c,以形成第一接触孔166a。外延图案120的表面可以暴露在第一接触孔166a的底部。
在用于形成第一接触孔166a的蚀刻工艺中,可以几乎不蚀刻第二覆盖图案164a。因此,可以在蚀刻工艺中防止第二覆盖图案164a的过度蚀刻。
此后,可以通过执行与先前参考图13说明的工艺相同的工艺在第一接触孔166a中形成接触插塞。
如上所述,根据示例实施例的半导体器件可以用于包括晶体管的存储器件或逻辑器件。
实施例可以提供制造包括晶体管的半导体器件的方法。
实施例可以提供制造包括具有高性能的鳍式场效应晶体管的半导体器件的方法。
根据示例实施例,可以制造包括具有优良电特性的鳍式场效应晶体管的半导体器件。
本文已经公开了示例实施例,并且虽然采用了特定术语,但是它们仅以一般性和描述性意义来使用和解释,而不是出于限制的目的。在一些情况下,如本领域普通技术人员在提交本申请时显而易见的,结合特定实施例描述的特征、特性和/或元件可以单独使用或与其他实施例中特征、特性和/或元件组合一起使用,除非另外特别指出。因此,本领域技术人员将理解,在不脱离所附权利要求中阐述的本发明的精神和范围的情况下,可以在形式和细节上进行各种改变。

Claims (20)

1.一种制造半导体器件的方法,所述方法包括:
在衬底上形成伪栅极结构;
在所述伪栅极结构的侧壁上形成间隔件;
形成初步第一层间绝缘图案以填充相邻间隔件之间的间隙;
通过第一蚀刻工艺蚀刻所述初步第一层间绝缘图案的上部,以形成初步第二层间绝缘图案;
通过离子注入工艺在所述伪栅极结构、所述间隔件和所述初步第二层间绝缘图案上注入离子;
通过第二蚀刻工艺蚀刻所述初步第二层间绝缘图案的上部,以形成具有平坦上表面的层间绝缘图案;以及
在所述层间绝缘图案上形成覆盖图案以填充所述间隔件之间的间隙。
2.如权利要求1所述的方法,其中,所述初步第二层间绝缘图案的上表面具有这样的形状:在远离所述衬底的方向上,所述初步第二层间绝缘图案的上表面邻近所述间隔件的区域比所述初步第二层间绝缘图案的上表面远离所述间隔件的区域更突出。
3.如权利要求1所述的方法,其中,所述第二蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性小于所述第一蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性。
4.如权利要求1所述的方法,其中,在所述第一蚀刻工艺和所述第二蚀刻工艺的每一个中使用的蚀刻气体包括HF和NH3
5.如权利要求4所述的方法,其中,在所述第一蚀刻工艺和所述第二蚀刻工艺的每一个中:
腔室压力为1.8托至3托,
HF和NH3的气体比为2:1至20:1,
循环次数为1至10,并且
处理时间为1至10秒。
6.如权利要求1所述的方法,其中,在所述离子注入工艺中注入的离子包括硼、硅、砷、磷、氩、氮、碳、氧、BF2、氟、氢、氦、锗、镓、氪或氙的离子。
7.如权利要求1所述的方法,其中,所述离子注入工艺包括顺序地或同时地注入彼此不同的多种离子。
8.如权利要求1所述的方法,其中,所述离子注入工艺包括在所述伪栅极结构和所述初步第二层间绝缘图案的表面下方形成离子注入区域。
9.如权利要求1所述的方法,其中,所述离子注入工艺包括在所述伪栅极结构的表面下方和所述间隔件的侧壁处形成离子注入区域。
10.如权利要求1所述的方法,其中,通过所述离子注入工艺改变所述初步第二层间绝缘图案和所述间隔件中的至少一个的蚀刻特性。
11.如权利要求1所述的方法,其中,在形成所述覆盖图案之前,通过多个循环重复所述第一蚀刻工艺、所述离子注入工艺和所述第二蚀刻工艺。
12.如权利要求1所述的方法,还包括,在形成所述覆盖图案之后用栅极结构置换所述伪栅极结构。
13.如权利要求12所述的方法,其中,用所述栅极结构置换所述伪栅极结构包括:
去除所述伪栅极结构以形成开口;
在所述开口中和所述覆盖图案上形成栅极结构层;以及
平坦化所述栅极结构层和所述覆盖图案,使得暴露所述层间绝缘图案,以在所述开口中形成所述栅极结构。
14.如权利要求12所述的方法,还包括在所述栅极结构之间形成接触插塞。
15.一种制造半导体器件的方法,所述方法包括:
在衬底上形成伪栅极结构;
形成初步第一层间绝缘图案以填充所述伪栅极结构之间的间隙;
通过第一蚀刻工艺蚀刻所述初步第一层间绝缘图案的上部,以形成具有圆形底部的初步凹槽和在所述初步凹槽下方的初步第二层间绝缘图案;
在所述伪栅极结构和所述初步第二层间绝缘图案上注入离子;
通过第二蚀刻工艺蚀刻所述初步第二层间绝缘图案的一部分,以形成具有平坦底部的凹槽和所述凹槽下方的层间绝缘图案;
在所述层间绝缘图案上形成覆盖图案;以及
用栅极结构置换所述伪栅极结构。
16.如权利要求15所述的方法,其中,所述第二蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性小于所述第一蚀刻工艺中的氧化硅和氮化硅之间的蚀刻选择性。
17.如权利要求15所述的方法,其中,用所述栅极结构置换所述伪栅极结构包括:
去除所述伪栅极结构以形成开口;
在所述开口中和所述覆盖图案上形成栅极结构层;以及
平坦化所述栅极结构层和所述覆盖图案,使得暴露所述层间绝缘图案,以在所述开口中形成所述栅极结构。
18.一种制造半导体器件的方法,所述方法包括:
在衬底上形成栅极结构;
形成初步层间绝缘图案以填充所述栅极结构之间的间隙;
在所述栅极结构和所述初步层间绝缘图案上形成掩模图案以覆盖接触形成区域;
使用所述掩模图案作为蚀刻掩模,部分地蚀刻所述初步层间绝缘图案的上部,以形成凹槽和所述凹槽下方的层间绝缘图案;
在所述层间绝缘图案上形成覆盖图案以填充所述凹槽;
在所述栅极结构、所述层间绝缘图案和所述覆盖图案上注入离子;以及
蚀刻掺杂有离子的层间绝缘图案以形成接触孔。
19.如权利要求18所述的方法,还包括在注入离子之前蚀刻所述层间绝缘图案的一部分。
20.如权利要求18所述的方法,其中,所述离子包括硼、硅、砷、磷、氩、氮、碳、氧、BF2、氟、氢、氦、锗、镓、氪或氙的离子。
CN201811416261.6A 2017-11-27 2018-11-26 制造半导体器件的方法 Pending CN109841572A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0159654 2017-11-27
KR1020170159654A KR102279939B1 (ko) 2017-11-27 2017-11-27 반도체 소자의 제조 방법

Publications (1)

Publication Number Publication Date
CN109841572A true CN109841572A (zh) 2019-06-04

Family

ID=66633491

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811416261.6A Pending CN109841572A (zh) 2017-11-27 2018-11-26 制造半导体器件的方法

Country Status (3)

Country Link
US (1) US10593557B2 (zh)
KR (1) KR102279939B1 (zh)
CN (1) CN109841572A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN114078762A (zh) * 2020-08-14 2022-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164876B2 (en) * 2019-02-07 2021-11-02 Micron Technology, Inc. Atom implantation for passivation of pillar material
US11695042B2 (en) * 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
FR3122525B1 (fr) * 2021-04-28 2024-01-19 Commissariat Energie Atomique Procédé de formation des espaceurs d'une grille d'un transistor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940001282A (ko) 1992-06-26 1994-01-11 김주용 자기정렬 콘택형성방법
JPH11297635A (ja) 1998-04-09 1999-10-29 Sony Corp 半導体装置の製造方法
JP2000012519A (ja) 1998-06-17 2000-01-14 Toshiba Corp 半導体装置の製造方法
DE19837395C2 (de) 1998-08-18 2001-07-19 Infineon Technologies Ag Verfahren zur Herstellung eines eine strukturierte Isolationsschicht enthaltenden Halbleiterbauelements
KR20080001373A (ko) 2006-06-29 2008-01-03 주식회사 하이닉스반도체 반도체 메모리 소자의 자기 정렬 콘택 형성 방법
US8421139B2 (en) * 2010-04-07 2013-04-16 International Business Machines Corporation Structure and method to integrate embedded DRAM with finfet
DE102010030756B4 (de) * 2010-06-30 2013-06-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Austauschgateverfahren für Metallgatestapel mit großem ε auf der Grundlage eines nicht-konformen Zwischenschichtdielektrikums
US8431453B2 (en) * 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9698229B2 (en) * 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US9190498B2 (en) 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
DE102014119395B4 (de) * 2014-12-22 2022-10-06 Infineon Technologies Ag Transistorbauelement mit Feldelektrode
US9634141B1 (en) 2015-10-14 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric film in semiconductor devices

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory
CN114078762A (zh) * 2020-08-14 2022-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN114078762B (zh) * 2020-08-14 2024-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
KR102279939B1 (ko) 2021-07-22
US20190164776A1 (en) 2019-05-30
KR20190061358A (ko) 2019-06-05
US10593557B2 (en) 2020-03-17

Similar Documents

Publication Publication Date Title
CN109841572A (zh) 制造半导体器件的方法
TWI524528B (zh) FinFET元件與其形成方法
CN104992979B (zh) 具有自对准外延源和漏的多栅半导体器件
US9324713B1 (en) Eliminating field oxide loss prior to FinFET source/drain epitaxial growth
US8927377B2 (en) Methods for forming FinFETs with self-aligned source/drain
CN108231588A (zh) 晶体管及其形成方法
CN106486377B (zh) 鳍片式半导体器件及其制造方法
CN106653751B (zh) 半导体器件及其制造方法
CN104241250B (zh) 用于形成接触件的掺杂保护层
CN109841680A (zh) 半导体装置
CN103137624A (zh) 高栅极密度器件和方法
TWI827712B (zh) 半導體裝置與其形成方法
CN102054704B (zh) 用于制造半导体装置的方法
CN105428237A (zh) Nmos晶体管及其形成方法
CN110299358A (zh) 包括鳍型场效应晶体管的半导体器件及其制造方法
CN111180513A (zh) 半导体器件及其形成方法
CN106531797A (zh) 半导体器件及其形成方法
TW202017057A (zh) 鰭狀場效電晶體裝置
CN106449404B (zh) 半导体结构及其形成方法
CN111162077B (zh) 半导体结构及其形成方法
TW202213526A (zh) 半導體元件及其製造方法
CN102683189B (zh) 一种金属栅极及mos晶体管的形成方法
TW201916121A (zh) 半導體裝置的形成方法
US11769821B2 (en) Semiconductor device having a corner spacer
TW201735156A (zh) 形成半導體結構的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination