TWI524528B - FinFET元件與其形成方法 - Google Patents

FinFET元件與其形成方法 Download PDF

Info

Publication number
TWI524528B
TWI524528B TW102140982A TW102140982A TWI524528B TW I524528 B TWI524528 B TW I524528B TW 102140982 A TW102140982 A TW 102140982A TW 102140982 A TW102140982 A TW 102140982A TW I524528 B TWI524528 B TW I524528B
Authority
TW
Taiwan
Prior art keywords
gate
channel region
forming
dielectric layer
region
Prior art date
Application number
TW102140982A
Other languages
English (en)
Other versions
TW201421693A (zh
Inventor
黃玉蓮
蔡明桓
萬幸仁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201421693A publication Critical patent/TW201421693A/zh
Application granted granted Critical
Publication of TWI524528B publication Critical patent/TWI524528B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

FinFET元件與其形成方法
本發明係關於半導體元件,更特別關於鰭狀場效電晶體(FinFET)與其形成方法。
依據Moore定律縮小半導體元件尺寸,其成本與複雜度引發新方法以改良半導體元件特性。為了依Moore定律持續縮小下一代的微處理器設計,可採用新的閘極材料如高介電常數之閘極介電層與金屬閘極以降低元件漏電流,採用有效閘極區域大於同樣尺寸之平面元件的FinFET元件、或者採用應力誘發通道以增加電荷載子遷移率。
本發明一實施例提供一種FinFET元件的形成方法,包括:形成半導體帶於半導體基板上,其中半導體帶位於介電層中;形成閘極於半導體帶與介電層上;形成第一凹陷與第二凹陷於半導體帶中,其中第一凹陷與第二凹陷位於閘極之相反兩側上;形成源極區於第一凹陷中,並形成汲極區於第二凹陷中;以及使介電層凹陷化,其中半導體帶之第一部份延伸超過介電層的上表面,且第一部份形成半導體鰭狀物。
本發明一實施例提供一種FinFET元件的形成方法,包括:形成半導體帶於半導體基板上,其中半導體帶位於 介電層中;形成第一虛置閘極於半導體帶與介電層上;形成多個第一閘極間隔物於第一虛置閘極的相反兩側上;蝕刻形成多個凹陷於半導體帶中;磊晶成長第一源極區於凹陷之一者中,與第一汲極區於凹陷之另一者中;移除第一虛置閘極以露出半導體帶中的第一通道區;移除半導體帶中的第一通道區;形成第一取代通道區於半導體帶中;蝕刻介電層,其中第一取代通道區延伸超過介電層之上表面,且其中部份半導體帶延伸超過介電層之上表面以形成半導體鰭狀物;以及形成第一主動閘極於第一取代通道區上。
本發明一實施例提供一種FinFET元件,包括:介電層位於半導體基板上;半導體鰭狀物自半導體基板向上延伸,其中半導體鰭狀物位於介電層中;第一源極區與第一汲極區位於半導體鰭狀物中,其中第一源極區與第一汲極區包括第一磊晶材料,其中第一源極區與第一汲極區之側壁與半導體基板之上表面實質上正交;第一通道區位於半導體鰭狀物中,其中第一通道區於水平方向位於第一源極區與第一汲極區中;以及第一閘極結構位於半導體鰭狀物上,其中第一閘極結構位於第一通道區上。
A-A、B-B、C-C‧‧‧切線
20‧‧‧半導體基板
22‧‧‧介電層
24‧‧‧半導體帶
26、44、86‧‧‧閘極介電層
28‧‧‧汲極區
29‧‧‧鰭狀物
30‧‧‧源極區
31‧‧‧間隔物襯墊
32、46、88‧‧‧閘極
34‧‧‧閘極間隔物
36‧‧‧凹陷
37‧‧‧虛置閘極介電層
38‧‧‧虛置閘極
40‧‧‧ILD
42、48A、48B、68、70、72、83‧‧‧開口
50、74、84‧‧‧取代通道區
60、76‧‧‧ESL
62、78‧‧‧硬遮罩層
64、80‧‧‧BARC層
66、82‧‧‧光阻
100、150、200‧‧‧FinFET元件
300‧‧‧NMOS FinFET
400‧‧‧PMOS FinFET
第1圖係本發明一實施例中,FinFET元件之透視圖;第2A-5A、2B-5B圖係本發明一實施例中,鰭狀物後製的FinFET元件之製程剖視圖;第6A-10A、6B-10B、6C-10C圖係本發明另一實施例中, 鰭狀物後製與閘極後製的的FinFET元件之製程剖視圖;第11A-16A、11B-16B、11C-16C圖係本發明另一實施例中,鰭狀物後製、閘極後製、與通道取代的FinFET元件之製程剖視圖;以及第17至31圖係本發明另一實施例中,PMOS與NMOS、鰭狀物後製、閘極後製、與通道取代的FinFET元件之製程剖視圖。
下述實施例之附圖將配合標號說明,而圖式中儘可能以相同標號標示類似部份。在圖式中,可能會誇大形狀與厚度以方便清楚說明。下述說明將特別描述本發明中的方法與裝置之單元。可以理解的是,未特別顯示或描述的單元屬本技術領域中具有通常知識者所熟知的範圍。在本發明之教示下,本技術領域中具有通常知識者自可輕易地完成多種變化與改良。
在說明書中,「一實施例」指的是至少一實施例所包含的特定結構或特徵。如此一來,在不同段落中提到的「一實施例」不必然為相同實施例。此外,特定結構與特性可以任何適當的方式結合於一或多個實施例中。可以理解的是,下述圖式僅用以舉例而未以比例繪製。
實施例係用以說明特定內容如鰭狀物後製的FinFET元件。然而其他實施例可應用於平面元件,如同應用於鰭狀物元件。
第1圖係FinFET元件100之透視圖。FinFET元件100包含多個半導體帶24與介電層22於半導體基板20上,且介電層 22圍繞半導體帶24。每一半導體帶24可具有超出介電層22上表面的鰭狀物29。每一鰭狀物29可具有汲極區28、源極區30、與兩者之間的通道區(未圖示)。閘極32可位於鰭狀物29之通道區(未圖示)上。雖然第1圖中的FinFET元件100具有四個鰭狀物29與單一閘極32,但其他實施例之鰭狀物29的數目可少於或多於四個,而閘極32的數目可多於一個。
第2A至5B圖係本發明一實施例中,鰭狀物後製的FinFET 100之製程剖視圖。凡是A系列的圖(比如第2A圖)均為沿著A-A切線的剖視圖,而B系列的圖(比如第2B圖)均為沿著B-B切線的剖視圖。
半導體基板20可為掺雜或未掺雜之基體矽,或絕緣層上矽(SOI)基板之主動層。一般而言,SOI基板包含半導體材料層如矽、鍺、矽鍺合金、SOI、絕緣層上矽鍺合金(SGOI)、或上述之組合。其他適用的基板還包括多層基板、組成漸變基板、或混合定向基板。
半導體基板20可包含主動元件(未圖示於第2B圖)。本技術領域中具有通常知識者應可了解,多種元件包含電晶體、電容、電阻、類似物、或上述之組合可用以滿足FinFET元件100其設計之結構與功能需求。上述元件的形成方法可為任何合適方法。鰭狀物29可電性耦合至主動元件與被動元件。雖然圖式中只有部份的半導體基板20,但足以完整說明實施例。
在一實施例中,半導體帶24之形成方法可為圖案化半導體基板20。圖案化製程可包含沉積遮罩材料(未圖示)如 光阻或氧化矽於半導體基板20上。接著圖案化遮罩材料,再依遮罩材料之圖案蝕刻半導體基板20。最終結構包含多個半導體帶24形成於半導體基板20上。每一半導體帶24之側壁實質上正交於半導體基板20的上表面。在某些實施例中,半導體基板20被蝕刻特定深度,即半導體帶24之高度介於約10nm至約500nm之間。半導體帶24之寬度介於約5nm至50nm之間。半導體帶24之長度介於約0.01μm至10μm之間。在另一實施例中,半導體帶24可自半導體基板20之上表面磊晶成長,以形成於半導體基板20上的圖案化層(如介電層22)中的溝槽與開口中。由於此製程已熟知於本技術領域中具有通常知識者,在此不贅述。
半導體帶24可為半導體材料如矽、鍺、矽鍺合金、或類似物。在一實施例中,半導體帶24為矽。接著可經由佈植製程,可將p型或n型雜質掺入半導體帶24中。
介電層22可毯覆性地沉積於FinFET元件100上。介電層22可由一或多種合適的介電材料組成,比如氧化矽、氮化矽、低介電常數之介電材料如掺雜碳之氧化物、超低介電常數之介電材料如孔洞狀掺雜碳之氧化矽、高分子如聚亞醯胺、上述之組合、或類似物。介電常數22之沉積製程可為化學氣相沉積(CVD)、旋塗玻璃製程、或其他合適製程。
在沉積介電層22後平坦化介電層22,使介電層22的上表面與半導體帶24上之硬遮罩層(未圖示於第2A與2B圖)的上表面等高。硬遮罩層可由含磷酸或類似物之蝕刻製程移除。介電層22之平坦化可由多種方式完成。在一實施例中,平坦化製程為化學機械研磨(CMP),使介電層與磨料反應後將其 磨除。此製程可持續到露出半導體帶24的頂部。在另一實施例中,可採用稀釋氫氟酸(DHF)或氣態氫氟酸(VHF)處理介電層22一段適當時間,以薄化介電層22。
在平坦化介電層22後,可形成閘極32於半導體帶24與介電層22上。閘極32包含閘極介電層26與閘極間隔物34。閘極介電層26之形成方法可為熱氧化法、CVD、濺鍍、或任何其他用以形成閘極介電層的已知方法。在其他實施例中,閘極介電層26包含高介電常數(大於3.9)之介電材料,比如氮化矽、氮氧化矽、金屬氧化物如氧化鉿(HfO2)、氧化鉿鋯(HfZrOx)、氧化鉿矽(HfSiOx)、氧化鉿鈦(HfTiOx)、氧化鉿鋁(HfAlOx)、類似物、上述之組合、或上述之多層結構。
閘極層(未圖示)可形成於閘極介電層26上。閘極層可為導電材料,並擇自下述群組:多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、與金屬。閘極層之沉積方法可為CVD、濺鍍、或其他用以沉積導電材料的已知技術。閘極層的上表面通常不平整,因此在圖案化或蝕刻閘極層前可先進行平坦化製程。離子可視情況掺雜至閘極層中,其掺雜方法可為離子佈植技術。接著可圖案化閘極層與閘極介電層以形成閘極32。閘極之圖案化製程包含沉積遮罩材料(未圖示)如光阻或氧化矽於閘極層上。接著圖案化遮罩材料,並依據遮罩材料之圖案蝕刻閘極層。
閘極間隔物34可形成於閘極32的相反兩側上。一般而言,閘極間隔物34之形成方法為毯覆性地沉積間隔物層(未圖示)於之前形成的結構上。在一實施例中,閘極間隔物34 可包含間隔物襯墊31,其組成可為氮化矽、碳化矽、矽鍺合金、氮氧化物、氧化物、上述之組合、或類似物。間隔物層可包含氮化矽、氮氧化物、碳化矽、氮氧化矽、氧化物、上述之組合、或類似物,其形成方法可為CVD、電漿增強式CVD(PECVD)、低壓CVD(LPCVD)、原子層沉積(ALD)、濺鍍、或其他本技術領域中具有通常知識者所知的方法。接著圖案化閘極間隔物層以形成閘極間隔物34,其圖案化方法可為非等向蝕刻,可自結構的水平表面移除間隔物層。
如第3A與3B圖所示,進行應力源汲極(SSD)蝕刻步驟,以蝕刻部份半導體帶24並形成凹陷36於半導體帶24中。SSD蝕刻可選擇性蝕刻半導體帶24,而不蝕刻介電層22、閘極32、與閘極間隔物34。在一實施例中,蝕刻形成之凹陷36深度介於約5nm至約25nm之間。SSD蝕刻步驟可由多種方式進行。在一實施例中,SSD蝕刻步驟可為搭配電漿源與蝕刻氣體的乾式化學蝕刻。電漿源可為誘導耦合電漿(ICP)蝕刻、變壓器耦合電漿(TCP)蝕刻、電子迴旋共振(ECR)蝕刻、反應性離子蝕刻(RIE)、或類似物。蝕刻氣體可為氟、氯、溴、上述之組合、或類似物。在另一實施例中,SSD蝕刻步驟可為濕式化學蝕刻,比如過氧化銨(APM)之混合物、氨水、氫氧化四甲基銨、上述之組合、或類似物。在又一實施例中,SSD蝕刻步驟可為乾式化學蝕刻與濕式化學蝕刻之組合。
在形成凹陷36後,可形成源極區30與汲極區28於凹陷36中,如第4A與4B圖所示。源極區30與汲極區28之形成方法可為磊晶成長矽鍺合金、矽、磷化矽、上述之組合、或類 似物於凹陷36中。矽組成的蓋層可視情況形成於源極區30與汲極區28上。磊晶成長使源極區30、汲極區28、與半導體帶24具有相同的的結晶方向。源極區30與汲極區28之成長實質上受限於介電層22。如第4B圖所示,汲極區28之側壁實質上正交於半導體基板20之上表面,而汲極區28之上表面實質上平行於半導體基板20之上表面。在一實施例中,汲極區28之上表面與介電層22之上表面實質上共平面。在另一實施例中,汲極區28之上表面可低於或高於介電層22之上表面。雖然第4B圖只有汲極區28,但閘極32其相反兩側上的汲極區28與源極區30具有類似結構,因此關於汲極區28之敘述亦可套用於源極區30。
在PMOS的實施例中,源極區30與汲極區28可為矽鍺合金(SiGex,x0.1),且可掺雜硼。在NMOS的實施例中,源極區30與汲極區28可為矽或磷化矽,且可掺雜磷。在上述兩種實施例中,源極區30與汲極區28可包含矽蓋層於其上。
如第5A與5B圖所示,形成鰭狀物29。鰭狀物29之形成方法可為蝕刻或凹陷化圍繞半導體帶24之介電層22。部份半導體帶24係延伸超出介電層22之上表面,以形成鰭狀物29。在一實施例中,鰭狀物29的上表面延伸超出介電層22之上表面的距離為約10nm至約30nm之間。鰭狀物29之形成方法可為化學氧化物反應如CERTAS®蝕刻、SiCoNi蝕刻、DHF處理、上述之組合、或類似方法。
在形成源極區30與汲極區28後再形成鰭狀物29,源極區30與汲極區28將受限於介電層22並具有較佳之形狀控制,進而減少甚至消除源極區30與汲極區28的晶面。如此一 來,可降低後續形成的金屬層與其接觸的源極區30與汲極區28之間的電阻。此外,源極區30與汲極區28上的晶面會使金屬層經由晶面的交界漏電流。
第6A至10C圖係另一實施例中,鰭狀物後製與閘極後製之FinFET元件150的製程剖視圖。凡是A系列的圖(比如第6A圖)均為沿著第1圖中A-A切線的剖視圖,B系列的圖(比如第6B圖)均為沿著第1圖中B-B切線的剖視圖,而C系列的圖(比如第6C圖)均為沿著第1圖中C-C切線的剖視圖。下述內容中與前述實施例重疊的部份將不贅述。
第6A至6C圖中的FinFET元件150與前述第4A至4B圖中的FinFET元件100類似,差異在於FinFET元件150具有虛置閘極38與其下方的虛置閘極介電層37。如此一來,上述圖式中的製程與前述之第2A至3B圖中的製程類似,在此不贅述。虛置閘極介電層37與第2A至2B圖中的閘極介電層26之材料類似,但虛置閘極介電層亦可採用任何其他合適材料。在一實施例中,虛置閘極38之材料可與前述之閘極32之材料類似。虛置閘極38亦可為氮化鈦、氮化鉭、上述之組合、或類似物形成於虛置閘極介電層37上,再形成一多晶矽於其上。
如第7A至7C圖所示,蝕刻停止層(ESL,未圖示)與ILD(層間介電層)40形成於半導體基板20、虛置閘極38、間隔物襯墊31、閘極間隔物34、源極區30、與汲極區28上。ESL可順應性地沉積於半導體基板20上的構件上。在一實施例中,ESL為氮化矽、氧化矽、類似物、或上述之組合,其形成方法可為PECVD、LPCVD、ALD、類似方法、或上述之組合。
ILD 40可形成於ESL上。在一實施例中,ILD 40可為氧化矽、氮化矽、類似物、或上述之組合。ILD 40之形成方法可為CVD、高密度電漿(HDP)、類似方法、或上述之組合。接著可平坦化ILD 40至露出出虛置閘極38的上表面。在一實施例中,平坦化ILD 40的方法可為CMP以移除部份ILD 40。在其他實施例中,可採用其他平坦化技術如蝕刻。
如第8A至8C圖所示,移除虛置閘極38與虛置閘極介電層37,以形成開口42於源極區30與汲極區28之間的半導體帶24中的通道區上。虛置閘極38之移除方法可為選擇性蝕刻虛置閘極38之材料的乾蝕刻。舉例來說,若虛置閘極38為多晶矽,則乾蝕刻採用三氟化氮(NF3)、六氟化硫(SF6)、氯(Cl2)、溴化氫(HBr)、類似物、或上述之組合,濕蝕刻採用氨水、TMAH、類似物、或上述之組合以移除虛置閘極38。
在移除虛置閘極38後,進行蝕刻製程以凹陷化被移除之虛置閘極38(見第9C圖)下方的介電層22,即形成鰭狀物29。鰭狀物的形成步驟與第5A至5B圖形成鰭狀物之製程類似,在此不贅述。在一實施例中,位於開口42下方之介電層22凹陷(見第9C圖),而非圍繞源極區30與汲極區28之區域中的介電層22凹陷(見第9B圖)。
如第10A至10C圖所示,形成閘極介電層44與閘極46於開口42中。閘極介電層44與閘極46之材料及形成方法,與第2A至2B圖中的閘極介電層26與閘極32類似,在此不贅述。如第10C圖所示,閘極46及閘極介電層44與鰭狀物29的三側相鄰。
第11A至16C圖係另一實施例中,鰭狀物後製、閘極後製、及通道取代之FinFET元件200之製程剖視圖。凡是A系列的圖(比如第11A圖)均為沿著第1圖中A-A切線的剖視圖,B系列的圖(比如第11B圖)均為沿著第1圖中B-B切線的剖視圖,而C系列的圖(比如第11C圖)均為沿著第1圖中C-C切線的剖視圖。下述內容中與前述實施例重疊的部份將不贅述。
第11A至11C圖中的FinFET元件200,與移除第9A至9C圖中FinFET元件150之虛置閘極與虛置閘極介電層後形成開口42的結構類似。如此一來,與前述第2A至3B圖與第6A至9C圖類似之製程將不贅述。
如第12A至12C圖所示,形成開口48A於半導體帶24中,以移除通道區。開口48A自半導體帶24之上表面往下的深度介於約5nm至約45nm之間。開口48A之形成方法可為蝕刻製程。在一實施例中,蝕刻製程可為搭配電漿源與蝕刻氣體的乾式化學蝕刻。電漿源可為ICP、TCP、ECR、RIE、或類似物。蝕刻氣體可為氟、氯、溴、上述之組合、或類似物。
如第13A至13C圖所示之實施例,進行另一蝕刻以形成V型的開口48B於開口48A下。V型開口的形成方法可為濕式化學蝕刻如氨水、TMAH、類似物、或上述之組合。開口48B之形成步驟係視情況(非必要)進行。取代通道開口可為非V型(比如只有開口48A),亦可為V型(開口48A加開口48B)。
在形成開口48A(與視情況形成開口48B)後,可形成取代通道區50於開口48A中,如第14A至14C圖所示。取代通道區50可具有應力及/或較高的載子遷移率,進而改善FinFET 元件200的元件效能。取代通道區50可為矽鍺合金、矽、磷化矽、鍺、類似物、或上述之組合磊晶成長於開口48A中,並可視情況形成矽的蓋層於取代通道區50上。取代通道區50之成長實質上受限於介電層22與半導體帶24。如第14A至14C圖所示,取代通道區50之側壁可與半導體基板20之上表面實質上正交,且取代通道區50之上表面可與半導體基板20之上表面實質上平行。在一實施例中,取代通道區50之上表面與介電層22及半導體帶24之上表面實質上共平面。在另一實施例中,取代通道區50之上表面可低於或高於介電層22與半導體帶24之上表面。
在PMOS的實施例中,取代通道區50可為矽鍺合金(SiGex,x0.1),並可掺雜硼。在NMOS的實施例中,取代通道區50可為矽,並可掺雜磷。在NMOS與PMOS之實施例中,取代通道區50可具有矽的蓋層形成其上。
在形成取代通道區50後,可進行蝕刻以凹陷化開口42下方的介電層22,即形成鰭狀物29如第15A至15C圖所示。上述鰭狀物的形成步驟與前述之第5A至5B圖中鰭狀物的形成步驟相同,在此不贅述。在一實施例中,位於開口下方42的介電層22凹陷(見第15C圖),但圍繞源極區30與汲極區28之區域中的介電層22不凹陷(見第15B圖)。
如第16A至16C圖所示,形成閘極介電層44與閘極46於開口42中與取代通道區50上。閘極介電層44與閘極46之材料與形成方法與第2A至2B圖中的閘極介電層26與閘極32類似,在此不贅述。如第16C圖所示,閘極46及閘極介電層44與 鰭狀物29的三側相鄰。
在形成源極區30與汲極區28後再形成鰭狀物29,源極區30與汲極區28將受限於介電層22並具有較佳之形狀控制,進而減少甚至消除源極區30與汲極區28的晶面。如此一來,可降低後續形成的金屬層與其接觸的源極區30與汲極區28之間的電阻。此外,源極區30與汲極區28上的晶面會使金屬層經由晶面的交界漏電流。藉由將通道區置換為取代通道區50,可讓通道區具有應力及/或高載子遷移率,進而改善FinFET元件200的效能。
第17至31圖係另一實施例中,PMOS FinFET 400與NMOS FinFET 300沿著半導體帶24(即沿著第1圖之A-A切線)之製程剖視圖。PMOS FinFET 400與NMOS FinFET 300均為鰭狀物後製、閘極後製、及通道取代之元件。下述內容中與前述實施例重疊的部份將不贅述。
如第17圖所示,NMOS FinFET 300與PMOS FET 400各自包含源極區30與汲極區28。源極區30與汲極區28係形成於半導體帶24中,而ILD 40係形成於半導體帶24與虛置閘極38上。
如第18圖所示,ESL 60係形成於ILD 40與虛置閘極38上,而硬遮罩層62係形成於ESL 60上。ESL 60可為氧化物、氮化物、類似物、或上述之組合。硬遮罩層62可為氮化矽、氮氧化矽、氧化矽、類似物、或上述之組合。
如第19圖所示,BARC層(底部抗反射塗層)64係形成於硬遮罩62上。BARC層64可避免後述之光微影製程的射線 反射干擾曝光製程。這些干擾會增加光微影製程的關鍵尺寸。BARC層64之形成方法可為CVD、類似方法、或上述之組合。可沉積光阻66於BARC層64上,接著圖案化光阻66。在顯影並移除部份光阻66後,可進一步進行蝕刻BARC層64,以露出NMOS FinFET 300上的部份硬遮罩層62。如第20圖所示,光阻66之圖案將轉移至硬遮罩層62,並露出NMOS FinFET 300。
在第21圖中,移除NMOS FinFET 300的虛置閘極38與其下方的通道區。第一蝕刻可形成開口68,而第二蝕刻可形成開口70於半導體帶24中。此步驟與前述第12A至12C圖之步驟類似,在此不贅述。如第22圖所示,V型的開口72形成於開口70下。此步驟與前述第13A至13C圖之步驟類似,在此不贅述。
如第23圖所示,NMOS之V型的取代通道區74形成於開口70與72中。此步驟與前述第14A至14C圖之步驟類似,在此不贅述。如第24圖所示,移除PMOS FinFET 400上的硬遮罩層62與ESL 60。此步驟可為蝕刻製程,其蝕刻液包含磷酸、DHF、類似物,或上述之組合。
如第25圖所示,另一ESL 76與硬遮罩層78形成於ILD 40、NMOS FinFET 300、與PMOS FinFET 400上。ESL 76與硬遮罩層78可沉積於開口68中,其中ESL 76與閘極間隔物34相鄰,並位於取代通道區74之上表面上。此步驟與前述第18圖之步驟類似,在此不贅述。如第26圖所示,形成另一BARC層80後,形成並圖案化光阻層82以露出PMOS FinFET 400上的硬遮罩層78。此步驟與前述第19圖之步驟類似,在此不贅述。如 第27圖所示,圖案化硬遮罩層78與ESL 76以露出PMOS FinFET 400上的虛置閘極38。此步驟與第20圖之步驟類似,在此不贅述。
如第28圖所示,移除PMOS FinFET 400上的虛置閘極38與其下的通道區。此步驟與前述第21圖之步驟類似,在此不贅述。如第29圖所示,形成PMOS之取代通道區84於開口83中。此步驟與前述第14A至14C圖之步驟類似,在此不贅述。在一實施例中,PMOS的取代通道區84其深度小於NMOS的取代通道區74(見第29圖)。在另一實施例中,PMOS的取代通道區84之形狀,不同於NMOS的取代通道區74之形狀(見第29圖)。如前述的第14A至14C圖,NMOS的取代通道區74之材料與PMOS的取代通道區84之材料可不同或相同。在另一實施例中,可先形成NMOS FinFET 300後再形成PMOS FinFET 400,或先形成PMOS FinFET 400後再形成NMOS FinFET 300。
如第30圖所示,移除硬遮罩層78與ESL 76。此步驟與前述之第24圖的步驟類似,在此不贅述。在移除硬遮罩層78與ESL後,可讓圍繞半導體帶24之介電層(未圖示)凹陷化,以形成鰭狀物。此步驟與前述之第15A至15C圖的步驟類似,在此不贅述。如第31圖所示,形成閘極介電層86與閘極88於NMOS的取代通道區74與PMOS的取代通道區84上。此步驟與前述之第16A至16C圖的步驟類似,在此不贅述。
一實施例提供FinFET元件的形成方法,包括形成半導體帶於半導體基板上,其中半導體帶位於介電層中,形成閘極於半導體帶與介電層上;以及形成第一凹陷與第二凹陷於 半導體帶中,其中第一凹陷與第二凹陷位於閘極之相反兩側上。此方法亦包括形成源極區於第一凹陷中,並形成汲極區於第二凹陷中;以及使介電層凹陷化,其中半導體帶之第一部份延伸超過介電層的上表面,且第一部份形成半導體鰭狀物。
另一實施例提供FinFET元件的形成方法,包括形成半導體帶於半導體基板上,其中半導體帶位於介電層中,形成第一虛置閘極於半導體帶與介電層上,形成多個第一閘極間隔物於第一虛置閘極的相反兩側上,蝕刻形成多個凹陷於半導體帶中,磊晶成長第一源極區於凹陷之一者中,與第一汲極區於凹陷之另一者中。此方法更包括移除第一虛置閘極以露出半導體帶中的第一通道區,移除半導體帶中的第一通道區,形成第一取代通道區於半導體帶中,蝕刻介電層,其中第一取代通道區延伸超過介電層之上表面,且其中部份半導體帶延伸超過介電層之上表面以形成半導體鰭狀物;以及形成第一主動閘極於第一取代通道區上。
又一實施例提供FinFET元件,包括介電層位於半導體基板上,半導體鰭狀物自半導體基板向上延伸,其中半導體鰭狀物位於介電層中;以及第一源極區與第一汲極區位於半導體鰭狀物中,其中第一源極區與第一汲極區包括第一磊晶材料,其中第一源極區與第一汲極區之側壁與半導體基板之上表面實質上正交。此FinFET元件還包括第一通道區位於半導體鰭狀物中,其中第一通道區於水平方向位於第一源極區與第一汲極區中;以及第一閘極結構位於半導體鰭狀物上,其中第一閘極結構位於第一通道區上。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
24‧‧‧半導體帶
86‧‧‧閘極介電層
28‧‧‧汲極區
30‧‧‧源極區
88‧‧‧閘極
40‧‧‧ILD
74、84‧‧‧取代通道區
300‧‧‧NMOS FinFET
400‧‧‧PMOS FinFET

Claims (10)

  1. 一種FinFET元件的形成方法,包括:形成一半導體帶於一半導體基板上,其中該半導體帶位於一介電層中;形成一閘極於該半導體帶與該介電層上;形成一第一凹陷與一第二凹陷於該半導體帶中,其中該第一凹陷與該第二凹陷位於該閘極之相反兩側上;形成一源極區於該第一凹陷中,並形成一汲極區於該第二凹陷中;以及在形成該源極區與該汲極區後使該介電層凹陷化,其中該半導體帶之一第一部份延伸超過該介電層的上表面,且該第一部份形成一半導體鰭狀物。
  2. 如申請專利範圍第1項所述之FinFET元件的形成方法,更包括:在使該介電層凹陷化之步驟前,先形成多個閘極間隔物於該閘極的相反兩側上;沉積一蝕刻停止層於該半導體帶、該閘極、該源極區、該汲極區、與該介電層上;沉積一層間介電層於該蝕刻停止層上;平坦化該層間介電層與該蝕刻停止層,以露出該閘極的上表面;移除該閘極以形成一開口於該些閘極間隔物之間;進行該介電層之凹陷化步驟,其中該介電層係凹陷化至低於該些閘極間隔物之間的該開口;以及 形成一第二閘極於該些閘極間隔物之間的該開口中。
  3. 一種FinFET元件的形成方法,包括:形成一半導體帶於一半導體基板上,其中該半導體帶位於一介電層中;形成一第一虛置閘極於該半導體帶與該介電層上;形成多個第一閘極間隔物於該第一虛置閘極的相反兩側上;蝕刻形成多個凹陷於該半導體帶中;磊晶成長一第一源極區於該些凹陷之一者中,與一第一汲極區於該些凹陷之另一者中;移除該第一虛置閘極以露出該半導體帶中的一第一通道區;移除該半導體帶中的該第一通道區;形成一第一取代通道區於該半導體帶中;蝕刻該介電層,其中該第一取代通道區延伸超過該介電層之上表面,且其中部份該半導體帶延伸超過該介電層之上表面以形成一半導體鰭狀物;以及形成一第一主動閘極於該第一取代通道區上。
  4. 如申請專利範圍第3項所述之FinFET元件的形成方法,更包括:在蝕刻該介電層之步驟前,先形成一第二虛置閘極於該半導體鰭狀物上;形成多個第二閘極間隔物於該第二虛置閘極的相反兩側上; 磊晶成長一第二源極區於該些凹陷中的一者,與一第二汲極區於該些凹陷中的另一者;在形成該第一取代通道區之步驟後,移除該第二虛置閘極以露出該半導體帶中的一第二通道區;移除該半導體帶中的該第二通道區;形成一第二取代通道區於該半導體帶中;進行蝕刻該介電層之步驟,其中該第一取代通道區與該第二取代通道區延伸超過該介電層的上表面;以及形成一第二主動閘極於該第二取代通道區上。
  5. 如申請專利範圍第4項所述之FinFET元件的形成方法,其中該第一取代通道區與該第二取代通道區之材料不同。
  6. 如申請專利範圍第4項所述之FinFET元件的形成方法,其中該第一取代通道區具有一V型下表面延伸至該半導體帶中,且該第二取代通道區具有一實質上平坦的下表面與該半導體基板的上表面實質上平行。
  7. 如申請專利範圍第4項所述之FinFET元件的形成方法,其中該第一取代通道區的深度小於該第二取代通道區的深度。
  8. 如申請專利範圍第4項所述之FInFET元件的形成方法,其中該第一源極區、該第一汲極區、該第一主動閘極、與該第一取代通道區形成一NMOS FinFET元件,而該第二源極區、該第二汲極區、該第二主動閘極、與該第二取代通道區形成一PMOS FinFET元件。
  9. 一種FinFET元件,包括: 一介電層位於一半導體基板上;一半導體鰭狀物自該半導體基板向上延伸,其中該半導體鰭狀物位於該介電層中;一第一源極區與一第一汲極區位於該半導體鰭狀物中,其中該第一源極區與該第一汲極區包括一第一磊晶材料,其中該第一源極區與該第一汲極區之側壁與該半導體基板之上表面實質上正交;一第一通道區位於該半導體鰭狀物中,其中該第一通道區於水平方向位於該第一源極區與該第一汲極區中,其中該源極區與該汲極區之邊緣與該通道區之邊緣實質上一致;以及一第一閘極結構位於該半導體鰭狀物上,其中該第一閘極結構位於該第一通道區上。
  10. 如申請專利範圍第9項所述之FinFET元件,其中該第一通道區包括一第二磊晶材料,且其中與該第一通道區相鄰之該半導體鰭狀物其材料與該半導體基板的材料相同;以及更包括:一第二源極區與一第二汲極區位於該半導體鰭狀物中,其中該第二源極區與該第二汲極區包括一第三磊晶材料,該第三磊晶材料不同於該第一磊晶材料,其中該第二源極區與該第二汲極區之側壁與該半導體基板之上表面實質上正交;一第二通道區位於該半導體鰭狀物中,其中該第二通道區於水平方向位於該第二源極區與該第二汲極區之間,其中 該第二通道區包括一第四磊晶材料,且該第四磊晶材料不同於該第二磊晶材料;以及一第二閘極結構位於該半導體鰭狀物上,其中該第二閘極結構位於該第二通道區上。
TW102140982A 2012-11-29 2013-11-12 FinFET元件與其形成方法 TWI524528B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/689,346 US8809139B2 (en) 2012-11-29 2012-11-29 Fin-last FinFET and methods of forming same

Publications (2)

Publication Number Publication Date
TW201421693A TW201421693A (zh) 2014-06-01
TWI524528B true TWI524528B (zh) 2016-03-01

Family

ID=50772487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102140982A TWI524528B (zh) 2012-11-29 2013-11-12 FinFET元件與其形成方法

Country Status (2)

Country Link
US (3) US8809139B2 (zh)
TW (1) TWI524528B (zh)

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
JP5968708B2 (ja) 2012-01-23 2016-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
JP6309299B2 (ja) * 2013-02-27 2018-04-11 ルネサスエレクトロニクス株式会社 圧縮歪みチャネル領域を有する半導体装置及びその製造方法
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
CN103346086B (zh) * 2013-05-31 2016-08-10 上海华力微电子有限公司 嵌入式锗硅结构的制造方法
US9293586B2 (en) * 2013-07-17 2016-03-22 Globalfoundries Inc. Epitaxial block layer for a fin field effect transistor device
CN104576376A (zh) * 2013-10-13 2015-04-29 中国科学院微电子研究所 一种mosfet结构及其制造方法
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US20150145042A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Transistors having multiple lateral channel dimensions
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9059311B1 (en) 2014-03-05 2015-06-16 International Business Machines Corporation CMOS transistors with identical active semiconductor region shapes
US9171935B2 (en) * 2014-03-07 2015-10-27 Globalfoundries Inc. FinFET formation with late fin reveal
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9236446B2 (en) 2014-03-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Barc-assisted process for planar recessing or removing of variable-height layers
US9281192B2 (en) 2014-03-13 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMP-friendly coatings for planar recessing or removing of variable-height layers
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
US9230992B2 (en) * 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
CN105244276B (zh) * 2014-06-12 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种FinFET及其制造方法、电子装置
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9590040B2 (en) * 2014-07-25 2017-03-07 Globalfoundries Inc. Methods of forming fins for a FinFET device by forming and replacing sacrificial fin structures with alternative materials
US9324831B2 (en) * 2014-08-18 2016-04-26 Globalfoundries Inc. Forming transistors without spacers and resulting devices
US9614088B2 (en) * 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
KR102222818B1 (ko) 2014-10-06 2021-03-04 삼성전자주식회사 반도체 장치의 제조 방법
US9450093B2 (en) 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9735256B2 (en) * 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9368343B1 (en) * 2015-01-07 2016-06-14 International Business Machines Corporation Reduced external resistance finFET device
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
US9406680B1 (en) 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
KR102312346B1 (ko) 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9406675B1 (en) 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
KR102339777B1 (ko) 2015-04-14 2021-12-15 삼성전자주식회사 반도체 소자
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
KR102380818B1 (ko) 2015-04-30 2022-03-31 삼성전자주식회사 반도체 소자
KR102251061B1 (ko) 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
KR102389813B1 (ko) 2015-05-19 2022-04-22 삼성전자주식회사 반도체 소자
US9530889B2 (en) 2015-05-21 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102394938B1 (ko) * 2015-05-21 2022-05-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
WO2016209210A1 (en) 2015-06-23 2016-12-29 Intel Corporation Indium-rich nmos transistor channels
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9660084B2 (en) 2015-07-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
US9583623B2 (en) 2015-07-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10032873B2 (en) 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9947592B2 (en) * 2015-11-16 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9627534B1 (en) * 2015-11-20 2017-04-18 United Microelectronics Corp. Semiconductor MOS device having a dense oxide film on a spacer
US9331148B1 (en) * 2015-12-08 2016-05-03 International Business Machines Corporation FinFET device with channel strain
US9954081B2 (en) * 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US10490552B2 (en) 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
US11264452B2 (en) 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US10026838B2 (en) * 2016-02-25 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor and manufacturing method thereof
US10002867B2 (en) 2016-03-07 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US9780088B1 (en) * 2016-03-31 2017-10-03 International Business Machines Corporation Co-fabrication of vertical diodes and fin field effect transistors on the same substrate
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9899382B2 (en) 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
CN107579116A (zh) * 2016-07-05 2018-01-12 瑞昱半导体股份有限公司 鳍式场效晶体管及其制造方法
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9870926B1 (en) 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9793105B1 (en) 2016-08-02 2017-10-17 United Microelectronics Corporation Fabricating method of fin field effect transistor (FinFET)
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10026840B2 (en) 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US9818875B1 (en) * 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
US10312346B2 (en) * 2016-10-19 2019-06-04 International Business Machines Corporation Vertical transistor with variable gate length
US10510618B2 (en) 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10872889B2 (en) 2016-11-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10529861B2 (en) 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US9991165B1 (en) 2016-11-29 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain epitaxy
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9935173B1 (en) 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10431670B2 (en) 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
KR102587891B1 (ko) * 2016-12-22 2023-10-12 삼성전자주식회사 반도체 소자
US10319826B2 (en) * 2017-04-12 2019-06-11 International Business Machines Corporation Replacement metal gate stack with oxygen and nitrogen scavenging layers
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10354997B2 (en) * 2017-04-28 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device with replacement gates
TWI744333B (zh) * 2017-05-24 2021-11-01 聯華電子股份有限公司 半導體裝置及其製程
CN109087943A (zh) * 2017-06-13 2018-12-25 联华电子股份有限公司 隧穿场效晶体管结构与其制作方法
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10629730B2 (en) * 2018-05-25 2020-04-21 International Business Machines Corporation Body contact in Fin field effect transistor design
US10529713B2 (en) 2018-06-08 2020-01-07 International Business Machines Corporation Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
US10756087B2 (en) 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10861973B2 (en) 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with a diffusion blocking layer
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10483375B1 (en) 2018-07-17 2019-11-19 International Business Machines Coporation Fin cut etch process for vertical transistor devices
US10930569B2 (en) * 2018-07-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dual crystal orientation for semiconductor devices
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10636893B2 (en) 2018-08-22 2020-04-28 Globalfoundries Inc. Replacement metal gate with reduced shorting and uniform chamfering
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11101347B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11557590B2 (en) 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
CN115132656A (zh) * 2022-07-05 2022-09-30 福建华佳彩有限公司 一种避免触控金属层开孔过刻的阵列基板的制造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100456697B1 (ko) * 2002-07-30 2004-11-10 삼성전자주식회사 반도체 장치의 캐패시터 및 그 제조방법
US20060113591A1 (en) 2004-11-30 2006-06-01 Chih-Hao Wan High performance CMOS devices and methods for making same
US7265008B2 (en) * 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7579248B2 (en) 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US7700452B2 (en) 2007-08-29 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8383474B2 (en) 2010-05-28 2013-02-26 International Business Machines Corporation Thin channel device and fabrication method with a reverse embedded stressor
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8664060B2 (en) * 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8828813B2 (en) 2012-04-13 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US20140070328A1 (en) * 2012-09-12 2014-03-13 Toshiba America Electronic Components, Inc. Semiconductor device and method of fabricating the same
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same

Also Published As

Publication number Publication date
TW201421693A (zh) 2014-06-01
US9543301B2 (en) 2017-01-10
US8809139B2 (en) 2014-08-19
US20140145242A1 (en) 2014-05-29
US20150380412A1 (en) 2015-12-31
US20140327046A1 (en) 2014-11-06
US9153695B2 (en) 2015-10-06

Similar Documents

Publication Publication Date Title
TWI524528B (zh) FinFET元件與其形成方法
US11257930B2 (en) Method of forming fin field effect transistor
US9929269B2 (en) FinFET having an oxide region in the source/drain region
KR101802715B1 (ko) 반도체 디바이스의 제조 방법
US8865560B2 (en) FinFET design with LDD extensions
US8847295B2 (en) Structure and method for fabricating fin devices
US9397217B2 (en) Contact structure of non-planar semiconductor device
US10157748B2 (en) Fin profile improvement for high performance transistor
US20190371674A1 (en) Geometry for Threshold Voltage Tuning on Semiconductor Device
US20220320348A1 (en) Enlargement of GAA Nanostructure
CN106531797A (zh) 半导体器件及其形成方法
US20210273096A1 (en) Semiconductor Device and Method
US11961919B2 (en) Nanostructure field-effect transistor device and method of forming
US20220384617A1 (en) Semiconductor Device and Method
TWI799177B (zh) 半導體裝置及其製造方法
US11769821B2 (en) Semiconductor device having a corner spacer
US20240113164A1 (en) Film modification for gate cut process
TWI514480B (zh) 多閘極電晶體元件之製作方法