TW201735156A - 形成半導體結構的方法 - Google Patents

形成半導體結構的方法 Download PDF

Info

Publication number
TW201735156A
TW201735156A TW105144272A TW105144272A TW201735156A TW 201735156 A TW201735156 A TW 201735156A TW 105144272 A TW105144272 A TW 105144272A TW 105144272 A TW105144272 A TW 105144272A TW 201735156 A TW201735156 A TW 201735156A
Authority
TW
Taiwan
Prior art keywords
semiconductor
feature
germanium
fin
exposed
Prior art date
Application number
TW105144272A
Other languages
English (en)
Other versions
TWI699829B (zh
Inventor
洪世瑋
林劍鋒
駱家駉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201735156A publication Critical patent/TW201735156A/zh
Application granted granted Critical
Publication of TWI699829B publication Critical patent/TWI699829B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys

Abstract

一種形成半導體結構的方法,包括:(i)形成包含鍺的特徵結構於基板上;(ii)移除特徵結構的一部分,使得特徵結構的內部部分暴露出;(iii)將暴露出的內部部分之表面暴露於含氧的環境;以及(iv)使用包含水的液體處理暴露出的內部部分之表面。

Description

形成半導體結構的方法
本揭示內容是有關於一種形成半導體結構的方法。
半導體積體電路(IC)工業已經歷了高速的成長。IC製造中的技術進步產生了好幾代的IC,且每一代都比前一代製造出更小及更複雜的電路。目前,為了更高的元件密度以及更佳的電性性能,半導體工業已發展到納米技術節點,且來自製造及設計的多項挑戰已將半導體技術引導至三維設計,例如鰭狀場效電晶體(finFETs)。典型的鰭狀場效電晶體是製造一層在基板上延伸的薄「鰭片」,鰭狀場效電晶體的通道形成在鰭片內。此外,形成閘極以橫越鰭,因此建置一種三面閘極結構(tri-gate structure)。在通道的三個側面上具有閘極是有益處的,可允許閘極從不同面向控制通道。儘管已有許多鰭狀場效電晶體以及製造鰭狀場效電晶體的方法被提出,但是它們並非在各方面皆令人滿意。
本揭示內容的一態樣是提供一種形成半導體結構的方法,此方法包括:形成包含鍺的一特徵結構於一基板上;移除此特徵結構的一部分,使得此特徵結構的一內部部分暴露出;將暴露出的此內部部分之一表面暴露於含氧的一環境;以及使用包含水的一液體處理暴露出的此內部部分之此表面。
10‧‧‧方法
12、14、16、18、20、22‧‧‧操作
101‧‧‧半導體基板
102‧‧‧基板
104‧‧‧鰭狀部分
104a‧‧‧上表面
104b‧‧‧部分
106‧‧‧隔離特徵結構
107‧‧‧溝槽
110‧‧‧半導體特徵結構
110a‧‧‧第一晶面
110b‧‧‧第二晶面
110c‧‧‧第三晶面
110d‧‧‧第四晶面
111‧‧‧第一部分
112‧‧‧第二部分
113‧‧‧通道部分
114‧‧‧內部部分
116‧‧‧矽鍺表面
117‧‧‧剩餘部分
118‧‧‧溝槽
120‧‧‧閘極結構
122‧‧‧閘極介電層
124‧‧‧閘極電極
124”‧‧‧虛設閘極
126‧‧‧間隙壁
130‧‧‧源極結構
140‧‧‧汲極結構
由下文之詳細描述並參照附圖閱讀,能夠最適當地理解本揭示內容之樣態。應注意,根據工業中之標準實務,多個特徵結構並未按比例繪製。實際上,為使論述明晰,可能任意地增加或縮少各種特徵結構之尺寸。
第1圖繪示依據本揭示內容的各種實施方式之形成半導體結構之方法的流程圖。
第2-12圖繪示依據本揭示內容之各種實施方式的製造方法中各階段之結構的示意圖。
以下揭示之內容提供各種不同的實施方式或實施例,以用於實施本揭示內容之不同特徵結構。以下敘述特定實施方式之組件及排列,以簡化本揭示內容。當然,此等組件及排列僅為例示實施方式,並非意欲限制在此揭示的內容。例如,在下文之描述中,第一特徵結構形成於第二特徵結構上方或之上可以包含其中第一特徵及第二特徵以直接接觸方式形成的實施方式,且亦可包含其中在第 一特徵及第二特徵之間形成額外特徵,而使得第一特徵及第二特徵沒有直接接觸之實施方式。此外,本揭示內容在各個實施例中使用重複的元件符號及/或字母,重複的用意僅是為了簡單明瞭,而非設定所論述的各種實施方式及/或構造之間的關係。
半導體工業持續地縮減積體電路內元件的尺寸。數種先進之科技已被研發用於實現具有更小特徵尺寸的技術節點。研究與發展探索了新的材料及構造以求更佳的裝置性能,包括更高的載子遷移率以及特徵結構之間更好的界面品質。然而,含有鍺成分的半導體特徵結構,並非在各方面皆令人滿意。例如,含有鍺的半導體特徵結構之表面還有一些製程上的問題。
本揭示內容大致上是關於一種形成含有鍺的半導體結構的方法。根據本揭示內容的各種實施方式,在此揭示的方法能有效地處理含有鍺成分的半導體特徵結構之表面。再者,此方法提供了高的生產效能(throughout)、經濟的操作、無毒特性、節省熱積存(thermal budget)、以及無損於其他特徵結構等優點。
應當理解,儘管在此可能使用第一,第二等用語來描述各種元件,但是這些元件不應被此類用語所限制。此類用語僅僅被用於區分不同元件。例如,在不脫離實施方式範圍的情況下,第一元件可能被稱為第二元件,類似地,第二元件也可能被稱為第一元件。如本文中所使用的,用語「及/或」包括一個或多個相關所列項目的任何 以及所有組合。
此外,在本文可能使用一些諸如「下方(beneath)」、「以下(below)」、「下方(lower)」、「上方(above)」、「上部(upper)」等空間相對用語,以便描述附圖中一個元件或特徵結構與其他元件或特徵結構的關係。該等空間相對用語旨在包含附圖描述的方向以及使用或操作中之裝置的不同配向。此裝置亦可被轉向(旋轉90度或其他方向),且本文使用的空間相對敘述皆應依此類推地作解釋。
應當理解,當元件被稱為「連接」或「耦接」到另一元件時,其可直接連接或連結到另一元件,或者存有中間元件。相反地,當元件被稱為「直接連接」或「直接接合」到另一元件時,則不存在中間元件。
第1圖繪示本揭示內容各種實施方式之形成半導體結構之方法10的流程圖。半導體結構可例如為鰭狀場效電晶體(finFET)。如第1圖所示,方法10包括操作12、操作14、操作16、操作18、操作20和操作22。第2圖到第12圖為方法10在各個製造階段的各種示意圖(部分或全部)。應當理解,儘管這些方法各別闡述了多個操作、動作及/或特徵結構,然而並非所敘述的全部操作、動作及/或特徵結構都是必要的,並且其他未敘述的操作、動作及/或特徵結構亦可能存在。此外,在某些實施方式中,操作及/或動作的順序可能與附圖中繪示的不同。再者,在某些實施方式中,所闡述的操作及/或動作可進一步被劃分為子操作 及/或子動作,但在其他實施方式中,所闡述的某些動作可以與另一者同時進行。
在第1圖的操作12中,形成包含鍺的半導體特徵結構於基板上。第2圖繪示操作12及操作14所形成結構的立體示意圖。第3圖繪示沿第2圖中的A-A'線段的剖面示意圖。第4圖繪示沿第2圖中的B-B'線段的剖面示意圖。
如第2圖到第4圖所示,形成包含鍺的半導體特徵結構110於基板102上。在各種實施方式中,基板102可包含半導體基板101。舉例而言,半導體基板101可包含矽。在某些實施方式中,半導體基板101可包含其他元素半導體,例如鍺元素。在另外某些實施方式中,半導體基板101可包含合金半導體,例如矽鍺(silicon germanium)、碳化矽鍺(silicon germanium carbide)、磷化鎵銦(gallium indium phosphide)及此類合金等。在另外某些實施方式中,半導體基板101可包含化合物半導體,例如砷化鎵(gallium arsenic)、碳化矽(silicon carbide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及此類化合物。在另外某些實施方式中,半導體基板101可包含絕緣層覆矽(SOI)結構。在另外某些實施方式中,半導體基板101可含有覆蓋於整體半導體材料(bulk semiconductor material)上的磊晶層。再者,半導體基板101可包含任何適宜的晶體取向(crystallographic orientation),例如(100)、(111)或(110)晶體取向。在多個實施例中,半導體基板101包含上表面為(100)晶體取向的矽層。
半導體特徵結構110從基板102延伸而出。舉例而言,半導體特徵結構110可以是鰭狀場效電晶體(finFET)的鰭狀結構。在各種實施方式中,半導體特徵結構110的尺寸為奈米或微米等級。在某些實施方式中,半導體特徵結構110中的鍺的莫耳濃度範圍為約20%至約80%。例如,半導體特徵結構110可包含矽鍺(SiGe),且矽鍺(SiGe)材料中的鍺的莫耳濃度大約為20%至80%,具體而言約為30%至80%,更具體地約為40%至80%。在另外某些實施方式中,半導體特徵結構110可以由鍺化合物、鍺合金、純鍺、及/或其組合所製成。
可以藉由各種方法製造半導體特徵結構110。第5-7圖繪示本揭示內容之某些實施方式的形成半導體特徵結構110的方法的剖面示意圖。
參照第5圖,接收半導體基板102,其中半導體基板102具有配置於隔離特徵結構106之間的一或多個鰭狀部分104。在某些實施方式中,鰭狀部分104可包含半導體基板101的一部分。為了形成鰭狀部分104,可利用某些適當的製程方式對半導體基板101進行蝕刻以形成多個溝槽,例如典型的光刻製程及/或雙圖案化微影(double patterning lithography,DPL)製程。蝕刻製程的例示實施例包括利用感應、螺旋或電子迴旋共振(ECR)電漿的中密度電漿蝕刻技術或高密度電漿蝕刻技術、及/或諸如反應離子蝕刻(RIE)製程等其它適宜的蝕刻技術。蝕刻所得的溝槽定義出鰭狀部分104以及隔離特徵結構106的位置。在 一些實施例中,鰭狀部分104包含矽。
可藉由沉積介電材料填充溝槽,隨後移除多餘的沉積介電材料而形成隔離特徵結構106。沉積介電材料的例示技術包括但不限於,化學氣相沉積(CVD)製程、高密度電漿化學沉積(HDP CVD)製程、次常壓化學氣相沉積(SACVD)製程、旋塗佈(SOD)製程、以及其它適宜的沉積技術。隔離特徵結構106的介電材料可包括氧化矽、氮化矽、低介電係數(低k)材料、或上述的任何組合、或相似的材料。低k材料的例示實施例包括氟化二氧化矽玻璃(FSG)、雙苯並環丁烯(BCB)、摻雜碳的氧化矽、非結晶的氟化碳、聚醯醯亞胺、及/或其它材料。在某些實施例中,上述過量的沉積介電材料可藉由化學機械研磨(CMP)製程及/或蝕刻製程予以移除。在另外某些實施例中,隔離特徵結構106可為淺溝槽隔離結構(STI)。
參照第6圖,讓鰭狀部分104向下凹陷以在隔離特徵結構106中形成一或多個溝槽107。凹陷的鰭狀部分104具有位於溝槽107內的上表面104a。溝槽107可藉由選擇性濕式蝕刻製程及/或選擇性乾式蝕刻製程而形成。濕式蝕刻製程所使用的蝕刻劑的例示實施例包括四甲基氫氧化銨(TMAH)溶液、HF/CH3COOH/HNO3溶液、或其它適合的溶液。選擇性乾式蝕刻製程的示例包括原位化學氣相蝕刻(CVE)技術、反應離子蝕刻(RIE)技術、電漿蝕刻技術、及/或類似技術、及/或上述之組合。
參照第7圖,從鰭狀部分104的上表面104a磊晶 生長矽鍺材料,且持續生長到溝槽107的上方,從而形成包含矽鍺的半導體特徵結構110。在某些實施方式中,磊晶生長製程可採用分子束磊晶法(MBE)、氣相磊晶法(VPE)、超真空化學氣相沉積法(UHV-CVD)、或諸如液相磊晶法(LPE)等其它適合技術。半導體特徵結構110具有第一晶面110a、第二晶面110b、第三晶面110c及第四晶面110d,其中各第一、第二、第三以及第四晶面110a、110b、110c、110d具有結晶取向(111)。第一晶面110a及第二晶面110b構成半導體特徵結構110的脊。此外,第三晶面110c及第四晶面110d鄰接於隔離特徵結構106的表面。在某些實施方式中,第三晶面110c及第四晶面110d與隔離特徵結構106的表面形成銳角。在多個實施例中,上述銳角的角度為約35度至約70度。
第8-10圖繪示本揭示內容之另外某些實施方式之形成半導體特徵結構110的方法的剖面示意圖。
參照第8圖,接收半導體基板102。半導體基板102具有配置在隔離特徵結構106之間的一或多個鰭狀部分104。具有鰭狀部分104及隔離特徵結構106的半導體基板102可與前文關於第5圖所述的實施方式相同。
參照第9圖,蝕刻隔離特徵結構106的一部分,使得鰭狀部分104的一部分104b延伸到隔離特徵結構106上方。具體而言,可採用毯覆式回蝕方式蝕刻隔離特徵結構106,而鰭狀部分104則沒有明顯地被蝕刻。例如,可調整蝕刻製程讓隔離特徵結構106的蝕刻速率大於鰭狀部分 104的蝕刻速率。因此,鰭狀部分104的部分104b從隔離特徵結構104的表面凸出。在多個實施例中,回蝕隔離特徵結構106的動作可包括選擇性濕式蝕刻技術及/或任何適合的選擇性乾式蝕刻技術,上述選擇性濕式蝕刻製程可使用例如HF溶液作為蝕刻劑。在某些實施方式中,鰭狀部分104的部分104b具有矩形輪廓,並具有垂直於部分104b之上表面的右側壁及左側壁。部分104b的高度可以藉由隔離特徵結構106的蝕刻深度而控制。
參照第10圖,從鰭狀部分104的部分104b磊晶生長矽鍺材料,因此形成半導體特徵結構110。第10圖之半導體特徵結構的形成方式在許多方面均類似於第7圖所示的半導體特徵結構。如第10圖所示,於鰭狀部分104之部分104b上磊晶生長矽鍺,以形成半導體特徵結構110,因此半導體特徵結構110包含鰭狀部分104及生長在其上方的矽鍺材料。半導體特徵結構110具有四個晶面110a、110b、110c及110d,且各晶面皆具有(111)晶體取向。在第10圖中,半導體特徵結構110的輪廓可受到鰭狀部分104之部分104b的尺寸以及諸如生長速率及生長時間等磊晶生長條件所控制。在某些實施方式中,磊晶生長製程可採用分子束磊晶法(MBE)、氣相磊晶法(VPE)、超真空化學氣相沉積法(UHV-CVD)、或其它適合的技術,例如液相磊晶法(LPE)。
請回到第1圖,在操作14中,形成閘極結構於半導體特徵結構的一部分上方。如第2-4圖所示,在基板102 上方形成橫越半導體特徵結構110的閘極結構120,因此將半導體特徵結構110分成第一部分111及第二部分112。半導體特徵結構110的第一部分111和第二部分112定義出其間的通道部分113。形成的閘極結構120是橫越通道部分113上方。在某些實施方式中,閘極結構可包含多個特徵結構,例如電極層、隔離層、介電層、擴散/阻障層、介面層、覆蓋層、其它適合的層、及/或上述的組合。舉例而言,閘極結構可包含閘極介電層122以及閘極介電層122上方的閘極電極124。閘極介電層122可包含介電材料,例如高k介電材料、氧化矽、氮化矽、其它適合的介電材料、及/或上述之組合。高k介電材料的實例包括HfO2、HfTaO、HfTiO、HfSiO、HfSiON、HfZrO、氧化鋁、其它適合的高k介電材料、及/或上述之組合。閘極電極124可包括任何適當的材料,例如多晶矽、銅、鋁、鉭、鈦、鎢、矽化鈷、矽化鎳、TiN、TaN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合材料、及/或上述之組合。
閘極結構120可藉由任何適當的製程而形成。舉例而言,可藉由一系列的沉積製程、微影製程及蝕刻製程的程序來製造閘極結構120。沉積製程的例示實施例包括化學氣相沉積(CVD)技術、高密度電漿化學氣相沉積CVD(HDPCVD)技術、有機金屬化學氣相沉積(MOCVD)技術、減壓化學氣相沉積(RPCVD)技術、電漿輔助化學氣相沉積(PECVD)技術、低壓化學氣相沉積(LPCVD)技術、原子層化學氣相沉積(ALCVD)技術、常壓化學氣相沉積 (APCVD)技術、物理氣相沉積(PVD)技術、原子層沉積(ALD)技術、電鍍技術、其它適合的方法、及/或上述之組合。此外,微影製程可包括光阻塗佈(例如旋塗)、軟烘烤、曝光、後烘烤、光阻顯影、乾燥、其它合適的製程、及/或上述之組合。蝕刻製程的示例包括濕式蝕刻製程及/或乾式蝕刻製程,諸如如化學氣相蝕刻(CVE)技術、反應式離子蝕刻(RIE)技術、電漿蝕刻技術等、及/或類似技術。
根據本揭示內容的某些實施方式,閘極結構120可以是虛設閘極堆疊(dummy gate stack),虛設閘極堆疊包含閘極介電層122、位於閘極介電層122上方的虛設閘極(dummy gate)124”、以及位於虛設閘極124”上方的硬罩幕128。在隨後的閘極替換製程中,虛設閘極堆疊可被金屬閘極和高k介電層所取代。虛設閘極堆疊可以藉由任何適合的製程形成。舉例而言,可採用一系列包括沉積、微影圖案化以及蝕刻製程的程序來形成虛設閘極堆疊。閘極介電層122可包括氮化矽、氧化矽、氮氧化矽、或任何其它適合的材料。虛設閘極124可包括多晶矽或類似的材料。此外,硬式罩幕128可包括任何適合的材料,例如氮化矽、氮氧化矽以及碳化矽。
如第2圖所示,側壁間隙壁(spacers)126形成在閘極結構120的各個側邊上。在多個實施例中,側壁間隙壁126可包含一或多種介電質,例如氧化矽、氮化矽、碳化矽、氮氧化矽、或相似物質、及/或上述之組合。間隙壁126可以藉由任何合適的製程形成。形成側壁間隙壁的例示實施 例包括:在閘極結構120上沉積一或多種介電材料的操作,以及非等向性地蝕刻此介電材料的操作。
在第1圖的操作16中,移除半導體特徵結構的一部分,使特徵結構的內部部分露出。如第11圖所示,半導體特徵結構110的局部被移除,使得半導體特徵結構110的內部部分114暴露出來。舉例而言,暴露出的內部部分114包括具有矽鍺(SiGe)表面116的通道部分113。在某些實施方式中,半導體特徵結構110的第一部分111及第二部分112完全被移除,從而露出通道部分113的矽鍺表面116。再者,在移除半導體特徵結構110的第一部分111及第二部分112之後,可在半導體基板102的隔離特徵結構106中形成一或多個溝槽118。蝕刻移除製程可以是乾式蝕刻製程、濕式蝕刻製程、或上述之組合。在多個實施例中,蝕刻製程使用HBr、Cl2及O2的混合物。或者,可以使用其它蝕刻劑的混合物以有效地移除第一部分111及第二部分112。然而,在某些實施方式中,半導體特徵結構110的第一部分111及第二部分112僅局部地被蝕刻,而留下第一部分111及第二部分112的剩餘部分117在隔離特徵結構106的溝槽118中。
在第1圖的操作18中,將半導體特徵結構之露出的內部部分的一表面暴露於含氧的環境,因此在露出的內部部分的表面上形成氧化鍺。如第11圖所示,將露出之包含鍺的內部部分114暴露於氧中並與氧產生反應,以於暴露出之內部部分114上形成氧化鍺。在某些實施方式中,暴露 出的內部部分114包含通道部分113的矽鍺表面116,且氧和矽鍺表面116的鍺成分發生氧化反應,於是在矽鍺表面116上形成氧化鍺。在某些實施方式中,含氧環境的氧氣莫耳濃度範圍為約20%至約22%。舉例而言,含氧環境可以是空氣。在另外某些實施方式中,氧化鍺的厚度為約1埃至約100埃,具體而言為約1埃至約50埃,更具體的為約5埃至約30埃。
在製造半導體裝置的過程中,露出的鍺材料不可避免地會暴露於含有氧的空氣中。露出的鍺材料與空氣中的氧進行反應,而形成氧化鍺。從許多方面而言,形成的氧化鍺將不利地降低後續製程中沉積其上之材料的品質。舉例而言,當氧化鍺形成於暴露出的矽鍺表面116上時,可觀察到其他材料難以磊晶生長於此具有氧化鍺的矽鍺表面116上。更詳細地說,在氧化鍺表面上磊晶生長的材料具有多孔結構,並且呈現出劣化的特性。
在第1圖的操作20中,使用含水之液體處理內部部分的露出表面上的氧化鍺,而使氧化鍺溶解在水中。舉例而言,如第11圖所示,提供液態水190與結構上的氧化鍺接觸,因此將氧化鍺溶解。在某些實施方式中,可將內部部分114的露出表面(例如矽鍺表面116)浸漬於去離子水中持續一段充足的時間以溶解其上的氧化鍺。在多個實施例中,將包含氧化鍺的矽鍺表面116浸入溫度為約20℃至約100℃的去離子水中約5秒至30分鐘的時間。例如,處理矽鍺表面116的去離子水的溫度可為約25℃、30℃、40℃、 50℃、60℃、70℃、80℃或90℃。此外,矽鍺表面116浸漬於去離子水中的時間可為約10秒、20秒、30秒、50秒、1分鐘、5分鐘、10分鐘或20分鐘。在另外某些實施方式中,可在具有氧化鍺的半導體基板上供給或噴灑去離子水。所供給或噴灑的去離子水在氧化鍺表面上形成流動的水膜,因此得以溶解並移除氧化鍺。在某些實施方式中,去離子水可包括含氟的活性界面劑,以增強移除氧化鍺的能力。
根據本揭示內容的某些實施方式,操作20可在無氧的腔室中進行。舉例而言,將待處理之具有氧化鍺的半導體結構傳送至充滿惰性氣體的腔室中,惰性氣體可例如為氮氣、氬氣、二氧化碳、或類似氣體、或上述之組合。之後,供給去離子水至無氧的腔室內,以溶解半導體結構上的氧化鍺。氧化鍺在無氧的腔室中被溶解移除,因此可防止半導體結構上再生成氧化鍺。在操作20之後,可執行乾燥製程來移除半導體結構上殘留的水。例如,可原位地在無氧的腔室中進行真空乾燥製程。
應當注意,本揭示內容並不侷限於處理矽鍺表面,本揭示內容之實施方式所述的方法可移除任何半導體特徵結構之含有鍺的表面。舉例而言,在此揭露的實施方式可應用於移除鍺化合物、鍺合金、純鍺、及/或其組合所製成的特徵結構上形成的氧化鍺。
在一比較例中,乃採用SiCoNiTM蝕刻處理來移除形成在半導體結構上的氧化鍺。為了能夠有效地移除氧化鍺,SiCoNiTM腔室必須在相對高的溫度及相對高的壓力 下操作。所造成的結果是,使用中的SiCoNiTM腔室的無線射頻(RF)點火發生連續的失效,且其失效的頻率是無法被接收的。與SiCoNiTM技術相比,本揭示內容的實施方式提供了多項優點,包括高的產能、經濟的成本操作、無毒特性、節省熱積存(thermal budget)、以及無損於其他諸如淺溝槽隔離墊及閘極間隙壁等特徵結構。
在第1圖的操作22中,形成源極結構和汲極結構。在某些實施方式中,如第12圖所示,源極結構130和汲極結構140形成在通道部分113的相對側上。例如,可在溝槽118中的鰭狀部分104及/或剩餘部分117(如果有剩餘部分117形成)上磊晶生長半導體材料。因矽鍺表面上的氧化鍺被有效地移除,所生長的半導體材料可填充溝槽118,並繼續生長於溝槽118之上,從而形成品質優良的源極結構130和汲極結構140。源極結構130和汲極結構140分別連接通道部分113的相對兩側。在某些實施方式中,源極結構130和汲極結構140包含使用矽鍺磊晶沉積製程所形成的矽鍺。磊晶沉積製程的實施例包括分子束磊晶法(MBE)、氣相磊晶法(VPE)、超高真空化學氣相沉積法(UHV-CVD)、或諸如液相磊晶法(LPE)的其它適合技術。可於基板上形成遮罩層(Masking layer)以界定半導體結構(例如,鰭狀場效電晶體)的數個區域,此等區域係磊晶沉積製程期間生成半導體材料的區域。可藉由添加不純物於磊晶製程的前驅材料中,而讓源極結構130和汲極結構140在磊晶沉積(磊晶成長)期間摻雜其他物質;或者可在磊晶製程後使用離子佈 植製程。摻雜的物質取決於所製造之裝置的類型,例如N型或P型裝置。摻雜的物質包括諸如硼或二氟化硼(BF2)等p型摻雜劑;諸如磷或砷等n型摻雜劑;或上述之組合。可進行退火製程以活化源極結構130和汲極結構140。舉例而言,退火製程可為快速熱退火(RTA)製程、激光退火製程、或其他適合的退火製程。在另外某些實施方式中,源極結構130和汲極結構140的鍺的莫耳濃度大於通道部分113的鍺莫耳濃度。再者,於形成源極結構130與汲極結構140之前或之後,可以進行植入、擴散、及/或高溫退火製程以形成期望的特徵。
在操作程序22之後,方法10可以進一步包括其他操作或製程。在某些實施方式中,可能進行矽化製程,以形成一或多個矽化物特徵結構於源極結構130和汲極結構140上。在矽化過程中,金屬層沉積於磊晶結構的表面上,且隨後進行退火製程。位於下方的磊晶結構與金屬層反應而產生矽化物層。矽化的區域比非矽化區域具有更低的電阻,因此能改善導電性。在某些實施方式中,可沉積層間介電層(ILD)覆蓋基板102上的閘極結構120以及源極結構130和汲極結構140,然後進行化學機械研磨(CMP)製程,將特定的特徵結構平坦化。層間介電層(ILD)可由低介電係數(低k)的介電材料所形成,例如磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟化矽酸鹽玻璃(FSG)、矽碳材料、上述之複合物、上述之組合物、或類似的材料。之後,在基板102上形成各種通孔、接觸點、及/或線路以 及多層互連結構(例如,金屬層及介電層),此等結構是配置與鰭狀電晶體結構的各種結構或特徵相連接。這些額外的特徵結構可提供電性連接至包含閘極結構120、源極結構130及汲極結構140的鰭狀場效電晶體。在一些實施例中,採用鑲嵌製程及/或雙鑲嵌製程,以形成一種與銅相關的多層互連結構。
本揭示內容之各種實施方式的優點包括提供一種新穎的形成半導體結構的方法。在此揭露的方法提供了許多優點,包括高的產能、經濟的成本操作、無毒特性、節省熱積存、以及無損於其他諸如淺溝槽隔離墊及閘極間隙壁等特徵結構。
根據某些實施方式的一態樣,一種形成半導體結構的方法,包括:形成包含鍺的一特徵結構於一基板上;移除該特徵結構的一部分,使得該特徵結構的一內部部分暴露出;將暴露出的該內部部分之一表面暴露於含氧的一環境;以及使用包含水的一液體處理暴露出的該內部部分之該表面。
在一實施方式中,將暴露出的該內部部分之該表面暴露於含氧的該環境的操作,包括形成氧化鍺於暴露出的該內部部分的該表面上。
在一實施方式中,使用包含水的該液體處理暴露出的該內部部分之該表面的操作,包括將暴露出的該內部部分之該表面上的氧化鍺溶解於該液體中。
在一實施方式中,該特徵結構係由矽鍺製成。
在一實施方式中,該環境中的氧的莫耳濃度約為20%至22%。
在一實施方式中,使用含水之該液體處理暴露出的該內部部分之該表面的操作,包括將暴露出的該內部部分之該表面浸入於去離子水中。
根據某些實施方式的另一態樣,一種形成鰭狀場效電晶體結構的方法,包括:形成包含矽鍺的一半導體特徵結構於一半導體基板上;形成一閘極結構於該半導體特徵結構的一部分上方,使得該閘極結構橫越該半導體特徵結構,從而將該半導體特徵結構分成一第一部分及一第二部分,其中在該半導體特徵結構的該第一部分及該第二部分之間定義出一通道部分;移除該半導體特徵結構的該第一部分及該第二部分,以暴露出該通道部分的一矽鍺表面;使用一含水之液體處理該矽鍺表面;以及形成一源極結構和一汲極結構於該通道部分的相對二側上。
在一實施方式中,在使用該含水之液體處理該矽鍺表面之前,更包括將該矽鍺表面暴露於含氧的一環境,因此在該矽鍺表面上形成氧化鍺;其中使用該含水之液體處理該矽鍺表面的操作包括將該氧化鍺溶解於該含水之液體中。
在一實施方式中,使用該含水之液體處理該矽鍺表面的操作包括使該矽鍺表面與去離子水接觸。
在一實施方式中,使用該含水之液體處理該矽鍺表面的操作包括將該矽鍺表面浸入溫度為約20℃至約 100℃的去離子水中,時間為約10秒至約10分鐘。
在一實施方式中,形成該半導體特徵結構的操作包括:接收該半導體基板,其中該半導體基板具有配置在一隔離特徵結構之間的一鰭狀部分;使該鰭狀部分凹陷以在該隔離特徵結構中形成一溝槽,其中該凹陷的鰭狀部分在該溝槽內具有一上表面;以及從該鰭狀部分的該上表面磊晶生長矽鍺,因此形成該半導體特徵結構。
在一實施方式中,形成該半導體特徵結構的操作包括:接收該半導體基板,其中該半導體基板具有配置在一隔離特徵結構之間的一鰭狀部分;蝕刻掉該隔離特徵結構的一部分,而讓該鰭狀部分的一部分延伸到該隔離特徵結構上方;以及在該鰭狀部分延伸到該隔離特徵結構上方的該部分上磊晶生長矽鍺,因此形成該半導體特徵結構。
在一實施方式中,該鰭狀部分包含矽。
在一實施方式中,該半導體特徵結構的鍺的莫耳濃度範圍為約20%至約80%。
在一實施方式中,移除該半導體特徵結構的該第一部分及該第二部分的操作包括完全蝕刻掉該半導體特徵結構的該第一部分及該第二部分。
在一實施方式中,移除該半導體特徵結構的該第一部分及該第二部分的操作包括局部地蝕刻該半導體特徵結構的該第一部分及該第二部分,因此在該半導體基板上留下該第一部分及該第二部分的殘存部分。
在一實施方式中,移除該半導體特徵結構的該 第一部分及該第二部分的操作包括在該半導體基板中形成一溝槽。
在一實施方式中,形成該源極結構和該汲極結構的操作包括從該半導體基板的該溝槽磊晶生長矽鍺。
在一實施方式中,該源極結構和該汲極結構中的鍺的莫耳濃度大於該通道部分中的鍺的莫耳濃度。
根據某些實施方式的另一態樣,一種形成鰭狀場效電晶體finFET結構的方法,包括:磊晶生長包含鍺的材料,而形成具有一第一晶面及一第二晶面的一鰭狀結構,其中該第一晶面及該第二晶面構成該鰭狀結構的一脊,且該第一晶面和該第二晶面具有(111)晶體取向;形成一閘極橫越過該鰭狀結構的該第一晶面及該第二晶面,其中該鰭狀結構包含暴露於該閘極外的一第一部分及一第二部分,以及位於該閘極下方的一通道部分;移除該鰭狀結構的該第一部分和該第二部分,使得該通道部分之包含鍺的一表面露出;使用一含水之液體處理露出的該通道部分之含有鍺的該表面;以及在使用該含水之液體處理露出的該通道部分之含有鍺的該表面之後,形成源極和汲極於該通道部分的相對二側上。
雖然本揭示內容已以實施方式揭露如上,然其並非用以限定本揭示內容,任何熟習此技藝者,在不脫離本揭示內容之精神和範圍內,當可作各種之更動與潤飾,因此本揭示內容之保護範圍當視後附之申請專利範圍所界定者為準。
10‧‧‧方法
12、14、16、18、20、22‧‧‧操作

Claims (1)

  1. 一種形成半導體結構的方法,包括:形成包含鍺的一特徵結構於一基板上;移除該特徵結構的一部分,使得該特徵結構的一內部部分暴露出;將暴露出的該內部部分之一表面暴露於含氧的一環境;以及使用包含水的一液體處理暴露出的該內部部分之該表面。
TW105144272A 2016-01-12 2016-12-30 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法 TWI699829B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/994,060 US10103262B2 (en) 2016-01-12 2016-01-12 Method of forming a finFET structure with high quality EPI film
US14/994,060 2016-01-12

Publications (2)

Publication Number Publication Date
TW201735156A true TW201735156A (zh) 2017-10-01
TWI699829B TWI699829B (zh) 2020-07-21

Family

ID=59275938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105144272A TWI699829B (zh) 2016-01-12 2016-12-30 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法

Country Status (3)

Country Link
US (1) US10103262B2 (zh)
CN (1) CN106960796A (zh)
TW (1) TWI699829B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3123520A4 (en) * 2014-03-28 2017-11-22 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US10727094B2 (en) * 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5358890A (en) * 1993-04-19 1994-10-25 Motorola Inc. Process for fabricating isolation regions in a semiconductor device
US6596597B2 (en) * 2001-06-12 2003-07-22 International Business Machines Corporation Method of manufacturing dual gate logic devices
US7422966B2 (en) * 2005-05-05 2008-09-09 Micron Technology, Inc. Technique for passivation of germanium
US9202917B2 (en) * 2013-07-29 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Buried SiGe oxide FinFET scheme for device enhancement
US9831345B2 (en) * 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
KR102236560B1 (ko) * 2014-03-26 2021-04-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9245980B2 (en) * 2014-04-01 2016-01-26 Globalfoundries Inc. Methods of forming substantially defect-free, fully-strained silicon-germanium fins for a FinFET semiconductor device
US9502538B2 (en) * 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9966471B2 (en) * 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9773865B2 (en) * 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US20160343806A1 (en) * 2015-05-21 2016-11-24 Globalfoundries Inc. Interface passivation layers and methods of fabricating

Also Published As

Publication number Publication date
US10103262B2 (en) 2018-10-16
TWI699829B (zh) 2020-07-21
CN106960796A (zh) 2017-07-18
US20170200825A1 (en) 2017-07-13

Similar Documents

Publication Publication Date Title
US11776847B2 (en) Contact structure for semiconductor device
US10262878B2 (en) Fluorine contamination control in semiconductor manufacturing process
US20210272849A1 (en) Wrap-Around Contact on FinFET
US11888046B2 (en) Epitaxial fin structures of finFET having an epitaxial buffer region and an epitaxial capping region
US10741400B2 (en) Gate replacement structures in semiconductor devices
US9997631B2 (en) Methods for reducing contact resistance in semiconductors manufacturing process
US9209279B1 (en) Self aligned replacement fin formation
CN106158747B (zh) 半导体结构及其形成方法
US11398482B2 (en) Semiconductor device and method
US10867860B2 (en) Methods of forming FinFET device
TWI699829B (zh) 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法
US20230420565A1 (en) Method for forming dual silicide in manufacturing process of semiconductor structure
US10002796B1 (en) Dual epitaxial growth process for semiconductor device
KR102571375B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US11569364B2 (en) Silicide backside contact