CN109585554A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN109585554A
CN109585554A CN201810688284.6A CN201810688284A CN109585554A CN 109585554 A CN109585554 A CN 109585554A CN 201810688284 A CN201810688284 A CN 201810688284A CN 109585554 A CN109585554 A CN 109585554A
Authority
CN
China
Prior art keywords
spacer
layer
gate structure
source
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810688284.6A
Other languages
English (en)
Other versions
CN109585554B (zh
Inventor
高琬贻
柯忠祁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109585554A publication Critical patent/CN109585554A/zh
Application granted granted Critical
Publication of CN109585554B publication Critical patent/CN109585554B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66719With a step of forming an insulating sidewall spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供了包括具有多个间隔件层的间隔件部件的半导体器件结构。在一个实例中,半导体器件包括:衬底上的有源区,有源区包括源极/漏极区域;位于有源区上方的栅极结构,源极/漏极区域靠近栅极结构;间隔件部件,该间隔件部件具有沿着栅极结构的侧壁的第一部分并且具有沿着源极/漏极区域的第二部分,其中,间隔件部件的第一部分包括沿着栅极结构的侧壁的块状间隔件层,其中,间隔件部件的第二部分包括块状间隔件层和处理的密封间隔件层,处理的密封间隔件层沿着源极/漏极区域设置并且位于块状间隔件层和源极/漏极区域之间;以及位于间隔件部件上的接触蚀刻停止层。本发明的实施例还涉及半导体器件及其形成方法。

Description

半导体器件及其形成方法
技术领域
本发明的实施例涉及半导体器件及其形成方法。
背景技术
在追求更高的器件密度、更高的性能和更低的成本的过程中,随着半导体工业已经进入纳米技术工艺节点,来自制造和设计问题的挑战已经引起了诸如鳍式场效应晶体管(FinFET)的三维设计的发展。典型的FinFET制造有从衬底延伸的鳍结构,例如通过蚀刻至衬底的硅层中。在垂直鳍中形成FinFET的沟道。在鳍结构上方(例如,上面以包裹)提供栅极结构。在沟道上具有栅极结构允许栅极结构周围的沟道的栅极控制是有益的。FinFET器件具有许多优势,包括减少短沟道效应和增加电流。
随着器件尺寸持续按比例缩小,可以通过使用金属栅电极而不是典型的多晶硅栅电极来改进FinFET器件的性能。形成金属栅极堆叠件的一个工艺是形成替换栅极的工艺(也称为“后栅极”工艺),其中“后”制造最终的栅极堆叠件。然而,在先进的工艺节点中实现这种IC制造工艺存在挑战。在栅极制造期间对沉积和图案化工艺的不准确和不适当的控制可能严重恶化器件结构的电性能。
发明内容
本发明的实施例提供了一种半导体器件,包括:衬底上的有源区,所述有源区包括源极/漏极区域;栅极结构,位于所述有源区上方,所述源极/漏极区域靠近所述栅极结构;间隔件部件,具有沿着所述栅极结构的侧壁的第一部分并且具有沿着所述源极/漏极区域的第二部分,其中,所述间隔件部件的第一部分包括沿着所述栅极结构的侧壁的块状间隔件层,其中,所述间隔件部件的第二部分包括所述块状间隔件层和处理的密封间隔件层,所述处理的密封间隔件层沿着所述源极/漏极区域设置并且位于所述块状间隔件层和所述源极/漏极区域之间;以及接触蚀刻停止层,位于所述间隔件部件上。
本发明的另一实施例提供了一种用于形成半导体器件的方法,包括:通过使用第一工艺气体的第一原子层沉积工艺在衬底上形成密封间隔件层;通过第二工艺气体等离子体处理所述密封间隔件层以形成处理的密封间隔件层,其中,所述第一工艺气体与所述第二工艺气体不同;通过使用所述第一工艺气体的第二原子层沉积工艺在所述处理的密封间隔件层上形成块状间隔件层;以及沿着栅极结构的侧壁将所述处理的密封间隔件层和所述块状间隔件层图案化成栅极间隔件部件。
本发明的又一实施例提供了一种用于形成半导体器件的方法,包括:沿着衬底上的栅极结构的侧壁形成间隔件部件,所述间隔件部件包括处理的密封间隔件层和块状间隔件层,其中,所述栅极结构形成在有源区上方,所述有源区包括所述衬底上的源极/漏极区域,所述源极/漏极区域靠近所述栅极结构;以及沿着所述栅极结构的侧壁去除所述栅极结构和所述处理的密封间隔件层的至少部分,其中,去除所述栅极结构的同时保持沿着所述源极/漏极区域的所述处理的密封间隔件层。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据一些实施例的用于在衬底上制造器件结构的示例性工艺的流程图;
图2示出了根据一些实施例的半导体器件结构的立体图;以及
图3A至图3B、图4A至图4B、图5A至图5B、图6A至图6B、图7A至图7B、图8A至图8B、图9A至图9B、图10A至图10B、图11A至图11B、图12A至图12B、图13A至图13B、图14A至图14B、图15A至图15B和图16A至图16B示出了根据一些实施例的处于图1的不同制造阶段的半导体器件结构的截面图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
本发明通常涉及半导体器件,并且更具体地涉及形成在半导体器件中的替换栅极。本发明提供了用于制造具有良好耐等离子体性的间隔件结构的方法,使得在完成替换栅极制造工艺之后,间隔件结构可以保持期望的完整轮廓。在一个实施例中,间隔件结构可以包括具有不同膜特性的多个间隔件层。可以在其它工艺中、在其它器件中和/或对其它层使用本发明的一些方面的实施方式。例如,其它示例器件可以包括平面FET、水平全环栅(HGAA)FET、垂直全环栅(VGAA)FET和其它器件。描述了示例方法和结构的一些变型。本领域普通技术人员将容易理解,可以作出的其它修改预期在其它实施例的范围内。虽然以特定顺序讨论了方法实施例,但是各个其它方法实施例可以以任何逻辑顺序实施并且可以包括本文中描述的更少或更多的步骤。
在用于形成晶体管的金属栅极的替换栅极工艺中,在衬底上方形成伪栅极堆叠件作为用于之后在衬底上形成的实际栅极堆叠件的预留位置。围绕伪栅极堆叠件形成间隔件结构。在形成源极/漏极部件之后,邻近间隔件结构形成接触蚀刻停止层(CESL)和层间介电(ILD)层,去除伪栅极堆叠件,留下由间隔件结构、CESL和ILD层围绕的开口。之后,在由间隔件结构、CESL和ILD限定的开口中形成金属栅极。
金属栅极结构包括栅极介电层,诸如高k介电层、可选阻挡层、功函调整层和金属栅电极。可以使用多个沉积和图案化工艺来形成功函调整层,例如以微调晶体管的阈值电压(Vt)。在一些实施例中,针对诸如p型FinFET或n型FinFET的不同类型的晶体管,功函调整层可以利用不同的材料,以根据需要增强器件电性能。阻挡层可选地用于在图案化工艺期间保护栅极介电层。
图1示出了实施为用于形成半导体器件结构(诸如图2中示出的简化的FINFET器件结构201)的工艺100的示例性流程图。未在图2中示出或描述的其它方面可以从以下附图和描述中变得明显。图2中的结构可以以例如一个晶体管或更多个晶体管的方式电连接或耦合。图3A至16B是根据一些实施例的对应工艺100的各个阶段的衬底的部分的示意性截面图。应该注意,工艺100可以用于形成任何合适的结构,包括图2至图16B中示出的半导体器件结构201或本文未呈现的其它半导体结构。
在衬底70上形成图2中示出的简化的FINFET器件结构201。衬底70可以是或包括块状半导体衬底、绝缘体上半导体(SOI)衬底或其它衬底。衬底70的半导体材料可以包括或是选自硅(例如,像Si<100>或Si<111>的晶体硅)、硅锗、锗、砷化镓或其它半导体材料的至少一种的材料。半导体材料可以是掺杂或未掺杂的,诸如用p型或n型掺杂剂。在SOI结构用于衬底70的一些实施例中,衬底70可以包括设置在绝缘层上的半导体材料,该绝缘层可以是设置在半导体衬底中的掩埋绝缘体,或可以是玻璃或蓝宝石衬底。在本文示出的实施例中,衬底70是含硅材料,诸如晶体硅衬底。此外,衬底70不限于任何特定的尺寸、形状或材料。衬底70可以是具有200mm直径、300mm直径或其它直径(诸如450mm)等的圆化/圆形衬底。衬底70也可以是任何多边形、正方形、矩形、弯曲或其它非圆形工件,诸如根据需要的多边形衬底。
每个鳍结构74均提供形成一个或多个器件的有源区域。使用包括掩模、光刻和/或蚀刻工艺的合适工艺来制造鳍结构74。在实例中,在衬底70上面形成掩模层。光刻工艺包括在掩模层上面形成光刻胶层(抗蚀剂),将光刻胶层曝光成图案,实施曝光后烘烤工艺以及显影光刻胶以图案化光刻胶层。使用合适的蚀刻工艺将光刻胶层的图案转移至掩模层以形成掩模元件。之后,掩模元件可以用于保护衬底70的区域,同时蚀刻工艺在衬底内形成凹槽76,留下延伸的鳍,诸如鳍结构74。可以使用反应离子蚀刻(RIE)和/或其它合适的工艺来蚀刻凹槽76。可以利用在衬底上形成鳍结构的方法的许多其它实施例。
在实施例中,鳍结构74的宽度为约10纳米(nm)并且高度在从约10nm至60nm的范围内,诸如约50nm高。然而,应该理解,其它尺寸可以用于鳍结构74。在一个实例中,鳍结构74包括硅材料或诸如锗的另一元素半导体或包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体。鳍结构74也可以是包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP或它们的组合的合金半导体。此外,鳍结构74可以根据需要使用n型和/或p型掺杂剂掺杂。
如所描述的,在实例中,可以通过蚀刻掉衬底70的部分以在衬底70中形成凹槽76来形成多个鳍结构74。之后,可以用隔离材料填充凹槽76,凹进或回蚀刻隔离材料以形成隔离结构78。用于隔离结构78和/或鳍结构74的其它制造技术是可能的。隔离结构78可以隔离衬底70的一些区域,例如,鳍结构74中的有源区。在实例中,隔离结构78可以是浅沟槽隔离(STI)结构和/或其它合适的隔离结构。STI结构可以由氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、低k介电材料和/或其它合适的绝缘材料形成。STI结构可以包括例如具有一个或多个衬垫层的多层结构。
在鳍结构74上方形成伪栅极结构212。在图2所示的实例中,伪栅极结构212包括栅极介电层80、栅电极层82和硬掩模84。应该注意,伪栅极结构212还可以包括覆盖层和/或其它合适的层。伪栅极结构212中的各个层可以通过合适的沉积技术形成并且通过合适的光刻和蚀刻技术图案化。伪栅极结构212在鳍结构74的两侧或三侧接合鳍结构74。源极/漏极区域52a和52b设置在鳍结构74的相对于伪栅极结构212的相对区域中。如图所示,例如,可以在各个晶体管之间共享一些源极/漏极区域,并且未示出为共享的其它源极/漏极区域可以与未示出的相邻晶体管共享。在一些实例中,各个源极/漏极区域可以连接或耦合在一起,从而使得FinFET实现为两个功能晶体管。例如,如果相邻(例如,与相对相反)的源极/漏极区域52a、52b电连接,诸如通过外延生长合并该区域(例如,相邻的源极/漏极区域,与伪栅极结构212的相对侧相反,被合并),则可以实现两个功能晶体管。其它实例中的其它配置可以实现其它数量的功能晶体管。
如此处描述的术语“伪”是指牺牲结构,其将在后期阶段中被去除并且将在替换栅极工艺中用诸如高k电介质和金属栅极结构的另一结构替换。替换栅极工艺是指在整个栅极制造工艺的后期阶段制造栅极结构。栅极介电层80可以是介电氧化物层。例如,可以通过化学氧化、热氧化、原子层沉积(ALD)、化学汽相沉积(CVD)和/或其它合适的方法形成介电氧化物层。栅电极层82可以是多晶硅层或其它合适的层。例如,可以通过诸如低压化学汽相沉积(LPCVD)和等离子体增强CVD(PECVD)的合适沉积工艺来形成栅电极层82。硬掩模84可以是适合于将衬底上的栅电极层82图案化为具有期望的部件/尺寸的任何合适的材料。
在实施例中,将伪栅极结构212的各个层首先沉积为毯式层。之后,通过包括光刻和蚀刻工艺的工艺来图案化毯式层,去除毯式层的部分并且保留隔离结构78和鳍结构74上方的剩余部分以形成伪栅极结构212。
在实例中,半导体器件结构201包括p型器件区域250a和n型器件区域250b。可以在p型器件区域250a中形成诸如p型FinFET的一个或多个p型器件,并且可以在n型器件区域250b中形成诸如n型FinFET的一个或多个n型器件。半导体器件结构201可以包括在诸如微处理器、存储器器件的IC和/或其它IC中。
图2进一步示出了在之后的附图中使用的参考截面。截面A-A是沿着例如相对的源极/漏极区域52a、52b之间的鳍结构74中的沟道的平面。截面B-B是垂直于截面A-A的平面并且横跨鳍结构74中的源极/漏极区域52a。为了清楚起见,随后的附图涉及这些参考截面。以下以“A”符号结尾的附图示出了对应于截面A-A的各个工艺阶段的截面图,以及以下以“B”符号结尾的附图示出了对应于截面B-B的各个工艺阶段的截面图。在一些附图中,可以省略其中示出的组件或部件的一些参考标号以避免模糊其它组件或部件;这是为了便于描述附图。
回参照图1中示出的工艺100,工艺100从操作102开始,通过提供衬底70(如图3A至图3B所示的)准备用于制造将在衬底70上形成的诸如半导体器件201的半导体器件。
在操作104中,如图4A至图4B所示,实施蚀刻工艺以在衬底70中形成限定衬底70中的鳍结构74的凹槽76。掩模72(例如,硬掩模)用于促进在衬底70中形成鳍结构74。例如,在半导体衬底70上方沉积一个或多个掩模层,并且之后将一个或多个掩模层图案化成掩模72。在一些实例中,一个或多个掩模层可以包括氮化硅、氮氧化硅、碳化硅、碳氮化硅等或它们的组合,并且可以通过化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)或其它沉积技术来沉积。可以使用光刻图案化一个或多个掩模层。例如,可以在一个或多个掩模层上形成光刻胶(诸如通过使用旋涂),并且通过使用适当的光掩模将光刻胶暴露于光来图案化光刻胶。之后,取决于使用的是正性光刻胶还是负性光刻胶来去除光刻胶的曝光部分或未曝光部分。之后,可以诸如通过使用合适的蚀刻工艺将光刻胶的图案转移至一个或多个掩模层,这形成掩模72。蚀刻工艺可以包括反应离子蚀刻(RIE)、中性束蚀刻(NBE)、电感耦合等离子体(ICP)蚀刻等或它们的组合。蚀刻可以是各向异性的。随后,例如,在灰化或湿剥离工艺中去除光刻胶。
在操作106中,如图5A至图5B所示,在对应的凹槽76中的每个凹槽76中形成隔离结构78。隔离结构78可以包括或可以是诸如氧化物(诸如氧化硅)、氮化物等或它们的组合的绝缘材料,并且绝缘材料可以通过高密度等离子体CVD(HDP-CVD)、可流动CVD(FCVD)(例如,远程等离子体系统中的CVD基材料沉积以及后固化以使其转化成诸如氧化物的另一材料)等或它们的组合形成。可以使用通过任何可接受的工艺形成的其它绝缘材料。在示出的实施例中,隔离结构78包括由FCVD工艺形成的氧化硅。诸如化学机械抛光(CMP)的平坦化工艺可以去除任何过量的绝缘材料和任何剩余的掩模(例如,用于蚀刻凹槽76并且形成鳍结构74)以使绝缘材料的顶面和鳍结构74的顶面形成为基本共面。之后,可以使绝缘材料凹进以形成隔离结构78。使绝缘材料凹进,从而使得鳍结构74从相邻的隔离结构78之间突出,这可以至少部分地将鳍结构74划定为半导体衬底70上的有源区。可以使用可接受的蚀刻工艺(诸如对绝缘材料的材料有选择性的工艺)使绝缘材料凹进。
例如,可以使用采用蚀刻或应用材料公司的SICONI工具或稀释的氢氟酸(dHF)的化学氧化物去除。此外,隔离结构78的顶面可以具有如图所示的平坦表面,可以由蚀刻工艺产生的凸表面、凹表面(诸如凹陷)或它们的组合。
在操作108中,如图6A至图6B所示,在衬底上形成伪栅极结构212。伪栅极结构212位于鳍结构74上方并且垂直于鳍结构74横向延伸。每个伪栅极结构212均包括栅极介电层80、栅电极层82和硬掩模84。在替换栅极工艺中,栅极介电层80可以是界面电介质。可以通过依次形成各个层,并且之后将这些层图案化成伪栅极结构212来形成用于伪栅极结构212的栅极介电层80、栅电极层82和硬掩模84。例如,用于界面电介质的层可以包括或可以是氧化硅、氮化硅等或它们的多层,并且可以在鳍结构74上热和/或化学生长,或诸如通过PECVD、ALD或其它沉积技术共形沉积。用于栅电极层82的层可以包括或可以是通过CVD、PVD或其它沉积技术沉积的硅(例如,多晶硅)或另一材料。用于硬掩模84的层可以包括或可以是通过CVD、PVD、ALD或其它沉积技术沉积的氮化硅、氮氧化硅、碳氮化硅等或它们的组合。之后,可以例如使用如以上描述的光刻和一个或多个蚀刻工艺来图案化用于硬掩模84、栅电极层82和栅极介电层80的层,以形成用于每个伪栅极结构212的硬掩模84、栅电极层82和栅极介电层80。
在一些实施例中,在形成伪栅极结构212之后,可以在有源区中形成轻掺杂漏极(LDD)区域(未具体示出)。例如,可以使用伪栅极结构212作为掩模将掺杂剂注入至有源区(例如,鳍结构74)中。示例性掺杂剂可以包括或可以是例如用于p型器件的硼和用于n型器件的磷或砷,但是可以使用其它掺杂剂。LDD区域可以具有在从约1015cm-3至约1017cm-3的范围内的掺杂剂浓度。
在操作110中,如图7A至图7B所示,在伪栅极结构212的侧壁上形成密封间隔件层86。密封间隔件层86共形地形成在衬底70上。在一个实例中,密封间隔件层86由介电常数小于4的材料(诸如低k介电材料)形成。例如,密封间隔件层86可以由包含碳氧化硅(SiOC)材料的材料制造。在一个具体实例中,密封间隔件层86由不含氮的碳氧化硅(SiOC)材料制造。
在一个实例中,可以通过任何合适的沉积工艺形成密封间隔件层86。在一个具体实例中,可以通过原子层沉积(ALD)工艺形成密封间隔件层86。在一个实例中,在ALD工艺中使用的工艺气体可以包括包含硅(Si)和碳(C)源的含硅和碳前体以及包含氧源的含氧前体。硅(Si)和碳(C)源以及含氧前体可以在原子层沉积工艺期间交替供应。在一个具体实例中,含硅和碳前体是不含氮的含硅和碳前体。在一些实例中,含硅和碳前体可以具有作为其特定化学/功能结构的主要Si-C-Si(硅-碳-硅)线性键合结构。应当认为,硅键之间结合的碳的数量可以影响所得到的膜层的密度,从而可以通过控制硅键之间形成的碳的数量来调整或改变膜介电常数。应当认为,碳原子的数量越多,可以获得的所得到的膜层的介电常数就越低。
此外,主要由Si-C-Si线性键合结构形成的膜层也认为具有比主要由Si-O-Si、Si-OH或其它含硅键合形成的膜层更低的密度。因此,通过选择具有作为其特定化学/功能结构的Si-C-Si(硅-碳-硅)线性键合结构的前体,可以获得具有相对较低的介电常数(例如,小于4,诸如约3.0-3.5)的密封间隔件层86。含硅和碳前体的合适的实例包括SiCl3-CH2-SiCl3、SiCl2-(CH2)2-SiCl2、SiCl-(CH2)3-SiCl、SiCl2=C=SiCl2等。应该注意,附接至键合结构末端的氯元素可以认为是在化学反应期间将经历热解过程的离去基团,从前体的主要Si-C-Si键合结构离开以触发反应。因此,根据需要也可以利用包含诸如Br、F等的其它元素的其它合适的离去基团。含氧前体的合适的实例包括水蒸汽(H2O)、O2、O3、CO、CO2等。
在操作110中的密封间隔件层ALD沉积工艺期间,可以可选地供应包括硅和碳源前体和含氧前体的不同气体以用于反应。ALD工艺的循环包括交替流动(或脉冲)和清除操作,其中,每个前体均在循环期间流动(或脉冲)并且随后清除至少一次。例如,硅和碳源前体流入ALD工具室中,其中衬底(例如,在其上形成器件结构,例如,如图7A至图7B示出的)转移至ALD工具室内,并且随后,从ALD工具室清除硅和碳源前体。在一些实例中,硅和碳源前体可以在清除之前与衬底上可用的反应位点反应。在一些实例中,反应可以使反应位点饱和,或硅和碳源前体可能不与衬底上可用的一些反应位点反应。在清除硅和碳源前体之后,之后氧源前体流入ALD工具室中,并且随后,从ALD工具室清除氧源前体。类似地,在一些实例中,氧源前体可以在清除之前与衬底上可用的反应位点反应。在一些实例中,反应可以使反应位点饱和,或氧源前体可能不与衬底上可用的一些反应位点反应。
交替的硅和碳源前体和氧源前体之间的脉冲和清除的循环可以实施任意次数,直至形成密封间隔件层86的所需厚度。总沉积时间可以在从300秒至900秒的范围内,诸如约600秒。交替的硅和碳源前体和氧源前体之间的总循环可以在从约15次循环至20次循环的范围内,诸如约18次循环。
在一个实例中,密封间隔件层86可以具有在从约3.2至约3.7(诸如约3.5)的介电常数。
应该注意,此处描述的密封间隔件层86可以形成为牺牲层和/或表面保护层以提供界面保护层,该界面保护层可以防止随后形成在其上的膜堆叠件免受随后的蚀刻/图案化工艺的损坏。因此,密封间隔件层86的厚度可以控制在足以提供界面保护的范围内。在一个实例中,密封间隔件层86可以具有在从的范围内(诸如从)的厚度。
在操作112中,如图8A至图8B所示,对密封间隔件层86实施等离子体处理工艺。如图8A至图8B示出的,等离子体处理工艺通过等离子体83处理密封间隔件层86以改变衬底表面性质,形成处理的密封间隔件层87。等离子体表面处理工艺可以有效地包含与密封间隔件层86中的不饱和键反应的某些元素,以改进键合能量并且使膜结构致密以形成具有相对较高的膜密度的处理的密封间隔件层87。来自处理的密封间隔件层87的更高的膜密度可以在伪栅极去除工艺期间使界面和随后形成在其上的膜堆叠件免受等离子体的损坏。此外,也可以实施处理工艺以修改密封间隔件层86的表面的形貌和/或表面粗糙度,以改进处理的密封间隔件层87的粘附性和鲁棒性。在一个实施例中,表面处理工艺可以产生表面粗糙度在从约至约的范围内的粗糙表面。
在一个实施例中,可以通过将包含含氢气体或惰性气体的工艺气体供应至等离子体工艺室中来实施表面处理工艺。含氢气体可以选自H2、H2O、H2O2、它们的组合等。在一个示例性实施例中,用于实施衬底处理工艺的含氢气体包括H2气。此外,在某些实施例中,工艺气体可以包括实施表面处理工艺的惰性气体。惰性气体的实例包括Ar、He等。应该注意,用于通过使用含氢气体实施表面处理工艺的工艺参数可以被配置为与使用惰性气体的工艺参数类似。
在一个实例中,等离子体处理工艺包括由包括在等离子体工艺室中的微波发生器产生的微波等离子体。在操作112中,对于等离子体处理工艺,微波发生器可以产生在从约0.3GHz至约300GHz的频率范围内的从约10瓦至约3000瓦的范围内的微波功率。等离子体工艺时间可以控制在从约100秒至约1000秒的范围内,诸如约600秒。
如上所述,此处使用的密封间隔件层86用作界面保护层以及牺牲层,以保护随后形成在其上的膜结构免受随后的蚀刻/图案化工艺的损坏。对密封间隔件层86实施等离子处理工艺将密封间隔件层86转化为处理的密封间隔件层87。处理的间隔件层87具有致密和增强的膜结构,其为器件结构提供稳健的耐等离子性和强大的界面保护。通过这样做,随后形成在处理的密封间隔件层87上的层可以在蚀刻/图案化工艺中接收良好的等离子体保护。
在等离子体处理工艺之后,由于较高的膜密度,处理的密封间隔件层87可具有比密封间隔件层86略大的介电常数。在一个实例中,处理的密封间隔件层87具有在从3.5和3.9的范围内(诸如约3.7)的介电常数。由于等离子体处理工艺的致密化,处理的密封间隔件层87的膜密度也大于密封间隔件层86的膜密度。相反地,处理的密封间隔件层87的厚度可以缩小密封间隔件层86的厚度的在从约10%至20%的范围内。在一个实例中,处理的密封间隔件层87具有在从约至约的范围内的厚度。处理之后,对于处理的密封间隔件层87,密封间隔件层86的膜密度从1.7变为2.5。
在操作114中,如图9A至图9B所示,之后在处理的密封间隔件层87上形成块状间隔件层88。块状间隔件层88与没有对其实施等离子体处理工艺的密封间隔件层86(如图7A至图7B所示)的材料基本相同。块状间隔件层88用作半导体器件的间隔件部件89的主要结构。因此,实现块状间隔件层88的足够厚度以实现半导体器件的操作。因此,块状间隔件层88的厚度大于处理的密封间隔件层87的厚度。在一个实例中,块状间隔件层88可以具有在从约至约的厚度。
如上所述,块状间隔件层88也由介电常数小于4的材料(诸如低k介电材料)形成。例如,如上所述,块状间隔件层88可以由包含碳氧化硅(SiOC)的材料制造,与用于形成密封间隔件层86的材料相同。在一个实例中,块状间隔件层88由不含氮的碳氧化硅(SiOC)材料制造。
类似地,可以通过诸如ALD工艺的任何合适的沉积工艺形成块状间隔件层88。在一个具体实例中,可以通过原子层沉积(ALD)工艺形成块状间隔件层88,ALD工艺使用包括包含硅(Si)和碳(C)源的含硅和碳前体以及包含氧源的含氧前体。在一个实例中,含硅和碳前体是不含氮的含硅和碳前体。含硅和碳前体可以具有作为其特定化学结构的主要Si-C-Si(硅-碳-硅)线性键合结构。含硅和碳前体的合适的实例包括SiCl3-CH2-SiCl3、SiCl2-(CH2)2-SiCl2、SiCl-(CH2)3-SiCl、SiCl2=C=SiCl2等。含氧前体的合适的实例包括水蒸汽(H2O)、O2、O3、CO、CO2等。
在一个实例中,块状间隔件层88可以具有在从约3.2至约3.7(诸如约3.5)的介电常数。块状间隔件层88的膜密度为从约1.5至约2.0,诸如约1.7。
如上所述,由于块状间隔件层88和密封间隔件层86由相同的材料形成,因此应该理解,由于更高的膜密度,处理的密封间隔件层87可以具有比块状间隔件层88略大的介电常数。由于等离子体处理工艺的致密化,处理的密封间隔件层87的膜密度也大于块状间隔件层88的膜密度。
在操作116中,之后,图案化和各向异性地蚀刻处理的密封间隔件层87和块状间隔件层88以形成期望的轮廓,从而形成如图10A至图10B所示的间隔件部件89。间隔件部件89包括处理的间隔件层87和块状间隔件层88。应该注意,之后可以牺牲并且去除处理的间隔件层87,仅留下部分块状间隔件层88作为衬底上的间隔件部件89。蚀刻工艺可以包括RIE、NBE或其它蚀刻工艺。在实施例中,间隔件部件89包括诸如氮化硅或氮氧化硅的介电材料。
在操作118中,如图11A至图11B所示,在衬底70中形成用于源极/漏极区域的凹槽90。如图所示,凹槽90形成在伪栅极结构212的相对侧上的鳍结构74中。可以通过蚀刻工艺凹进。蚀刻工艺可以是各向同性的或各向异性的,或者可以相对于半导体衬底70的一个或多个晶面是选择性的。因此,基于实施的蚀刻工艺,凹槽90可以具有各种截面轮廓。蚀刻工艺可以是诸如RIE、NBE等的干蚀刻或诸如使用四甲基氢氧化铵(TMAH)、氢氧化铵(NH4OH)或其它蚀刻剂的湿蚀刻。
应该注意,蚀刻工艺选择性地蚀刻衬底70上的鳍结构74,同时对间隔件部件89的损坏最小。因此,当在衬底70中形成凹槽90(如图11A所示)时,去除隔离结构78之间的鳍结构74的至少部分(如图11B所示),以及如图11B所示的间隔件部件89可以保留在衬底70上,同时具有最小的高度/宽度损失。
在操作120中,在在衬底70中形成凹槽90之后,可以实施外延沉积工艺以生长源极/漏极区域92,如图12A和图12B所示。外延源极/漏极区域92可以包括或可以是硅锗(SixGe1-x,其中,x可以介于约0和1之间)、碳化硅、硅磷、纯或基本上纯的锗、III-V族化合物半导体、II-VI族化合物半导体等。例如,用于形成III-V族化合物半导体的材料包括InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP等。可以诸如通过金属有机CVD(MOCVD)、分子束外延(MBE)、液相外延(LPE)、汽相外延(VPE)、选择性外延生长(SEG)等或它们的组合在凹槽90中外延生长材料来在凹槽90中形成外延源极/漏极区域92。如图12A至图12B示出的,由于隔离结构78和间隔件部件89的阻挡,首先在凹槽90中垂直生长外延源极/漏极区域92,在此期间外延源极/漏极区域92不水平生长。在完全填充凹槽90并且从间隔件部件89垂直向外之后,可以垂直和水平生长外延源极/漏极区域92以形成小平面,小平面可以对应于半导体衬底70的晶面。在一些实例中,对p型器件和n型器件的外延源极/漏极区域使用不同的材料。在凹进或外延生长期间适当的掩模可以允许在不同的器件中使用不同的材料。
本领域普通技术人员也将容易理解,可以省略图11A至图11B以及图12A至图12B的凹进和外延生长,并且可以通过使用伪栅极结构212和间隔件部件89作为掩模将掺杂剂注入至鳍结构74来形成源极/漏极区域。在采用外延源极/漏极区域92的一些实例中,外延源极/漏极区域92也可以诸如通过外延生长期间的原位掺杂而掺杂和/或通过在外延生长之后将掺杂剂注入至外延源极/漏极区域92而掺杂。示例性掺杂剂可以包括或可以是例如用于p型器件的硼或用于n型器件的磷或砷,但是可以使用其它掺杂剂。外延源极/漏极区域92(或其它源极/漏极区域)可以具有在从约1019cm-3至约1021cm-3的范围内的掺杂剂浓度。因此,可以通过掺杂(例如,通过注入和/或外延生长期间的原位掺杂,如果合适的话)和/或通过外延生长来划定源极/漏极区域,如果合适的话,通过掺杂或外延生长可以进一步划定其中划定源极/漏极区域的有源区。
在操作122中,如图13A至图13B所示,形成覆盖伪栅极结构212的接触蚀刻停止层(CESL)96。CESL 96可以提供在形成例如接触件或通孔时停止蚀刻工艺的机制。接触蚀刻停止层96可以由与邻近的层或组件具有不同蚀刻选择性的介电材料形成。CESL 96形成在外延源极/漏极区域92的表面、间隔件部件89的侧壁和顶面、硬掩模84的顶面以及隔离结构78的顶面上。CESL 96可以包括或可以是含氮材料、含硅材料和/或含碳材料。此外,CESL 96可以包括或可以是氮化硅、碳氮化硅、氮化碳、氮氧化硅、碳氧化硅等或它们的组合。可以通过诸如等离子体增强ALD(PEALD)、CVD或其它沉积技术的沉积工艺来沉积CESL 96。
在操作124中,如图14A至图14B所示,在CESL 96上方形成ILD层99。ILD层99可以包括诸如正硅酸乙酯(TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、硼掺杂的硅玻璃(BSG)、SiOxCy、旋涂玻璃)、旋涂聚合物、硅碳材料、它们的化合物、它们的复合物和/或其它合适的介电材料的材料。可以通过旋涂、CVD、FCVD、PECVD、PVD或其它合适的沉积技术来沉积ILD层99。在实施例中,通过可流动CVD(FCVD)工艺形成ILD层99以填充在相邻的伪栅极结构212之间。应该注意,在热退火工艺之后,可以诸如通过CMP平坦化ILD层99,根据需要提供平坦表面。
在操作126中,随后,从衬底70去除伪栅极结构212以限定ILD层99中的开口50(如图15A至图15B所示),这之后可以允许在开口50中形成诸如金属栅极结构的替换栅极结构56以继续制造半导体器件结构201,如图16A至图16B所示。回参照图15A至图15B,在伪栅极结构212的去除工艺期间,实施一系列等离子体蚀刻/图案化工艺。在一些实例中,可以实施灰化工艺和/或清除浮渣工艺以从衬底70去除蚀刻残留物。因此,衬底70上的结构(包括间隔件部件89、CESL 96和ILD层99)可能在等离子体工艺期间经历等离子体攻击,这可能导致对膜结构的不期望的损坏,特别是与伪栅极结构212直接接触的间隔件部件89。因此,通过利用包括处理的密封间隔件层87和块状间隔件层88的间隔件部件89(例如,多间隔件层结构),处理的密封间隔件层87用作界面保护层,以在伪栅极结构移去除工艺期间直接暴露于等离子体,从而防止块状间隔件层88暴露于等离子体。此外,由于处理的密封间隔件层87具有相对致密的膜结构并且相对耐等离子体,处理的密封间隔件层87能够保持通过侵蚀性等离子体暴露并且甚至通过清除浮渣氧暴露。在清除浮渣工艺期间的氧暴露通常认为是可能不期望地影响间隔件部件89的介电常数的显著因素,因为由其产生的氧元素通常提高间隔件部件89的介电常数的值。因此,处理的密封间隔件层87的逐渐消耗使得块状间隔件层88在侵蚀性等离子体暴露期间和甚至在清除浮渣氧暴露期间受到攻击。因此,控制并且确定处理的密封间隔件层87的厚度在的适当范围内,以允许伪栅极结构去除工艺期间的侵蚀性等离子体暴露和清除浮渣氧暴露期间逐渐消耗,而不会过早耗尽,过早耗尽可能不期望地将附近的块状间隔件层89暴露于等离子体。因此,在图15A所示的处理的间隔件层87与伪栅极结构212直接接触的位置中,处理的间隔件层87被消耗并且在去除伪栅极结构212之后基本从衬底70去除,但是在其它实例中,在伪栅极结构212的去除之后,处理的间隔件层87的至少一些部分可以沿着块状间隔件层89保留在处理的间隔件层87与伪栅极结构212直接接触的位置中。相反地,在图15B所示的在没有等离子体暴露的情况下将间隔件部件89(特别地处理的密封间隔件层87)覆盖在CESL96和ILD层99下方的位置处,包括处理的密封间隔件层87和块状间隔件层88的间隔件部件89可以保留在衬底70上,靠着源极/漏极区域92的侧壁内衬。
在操作128中,在去除伪栅极结构212之后,之后,可以在开口填充并且形成替换栅极结构56。替换栅极结构56可以是金属栅极结构,包括形成在其中的界面层(未示出)、高介电常数介电层53、功函调整层54和金属电极结构55以形成金属栅极结构,如图16A至图16B所示。
虽然不旨在限制,但是本发明的一个或多个实施例提供了半导体器件及其形成的许多益处。例如,本发明的实施例可以提供在形成CESL之前用于形成具有多个膜层的间隔件部件的方法。间隔件部件可以包括密封间隔件层和块状间隔件层。等离子体处理密封间隔件层以形成处理的密封间隔件,该处理的密封间隔件层是耐等离子体的,以在随后的伪栅极去除工艺中经历等离子体暴露而不会过早消耗或耗尽。因此,在从衬底去除伪栅极结构之后,在一些位置中,处理的密封间隔件以及块状间隔件层可以保留在衬底上,而在一些位置中,仅保留块状间隔件层。
在一个实施例中,半导体器件包括衬底上的有源区(有源区包括源极/漏极区域)、位于有源区上方的栅极结构(源极/漏极区域靠近栅极结构)、间隔件部件,该间隔件部件具有沿着栅极结构的侧壁的第一部分并且具有沿着源极/漏极区域的第二部分,其中,间隔件部件的第一部分包括沿着栅极结构的侧壁的块状间隔件层,其中,间隔件部件的第二部分包括块状间隔件层和处理的密封间隔件层,处理的密封间隔件层沿着源极/漏极区域设置并且位于块状间隔件层和源极/漏极区域之间,以及位于间隔件部件上的接触蚀刻停止层。在实施例中,层间介电层位于接触蚀刻停止层上。在实施例中,块状间隔件层具有小于4的介电常数。在实施例中,块状间隔件层是不含氮的碳氧化硅材料。在实施例中,处理的密封间隔件层具有大于块状间隔件层的介电常数。在实施例中,处理的密封间隔件层具有比块状间隔件层更大的膜密度。在实施例中,栅极结构包括设置在高介电常数层上的金属栅电极。在实施例中,接触蚀刻停止层是含氮化硅的材料。在实施例中,块状间隔件层具有大于处理的密封间隔件层的厚度。
在另一实施例中,用于形成半导体器件的方法包括:通过使用第一工艺气体的第一原子层沉积工艺在衬底上形成密封间隔件层,通过第二工艺气体等离子体处理密封间隔件层以形成处理的密封间隔件层,其中,第一工艺气体与第二工艺气体不同,以及通过使用第一工艺气体的第二原子层沉积工艺在处理的密封间隔件层上形成块状间隔件层,并且沿着栅极结构的侧壁将处理的密封间隔件层和块状间隔件层图案化成栅极间隔件部件。在实施例中,等离子体处理密封间隔件层还包括通过将微波功率施加至放置衬底的等离子体工艺室来形成第二工艺气体的等离子体。在实施例中,第一工艺气体包括含硅和碳前体和含氧前体。在实施例中,含硅和碳前体是不含氮的含硅和碳前体。在实施例中,含氧前体包括水蒸汽。在实施例中,含硅和碳前体具有线性Si-C-Si键合结构。在实施例中,块状间隔件层具有大于处理的间隔件层的厚度。在实施例中,第二工艺气体包括含氢气体、惰性气体或它们的组合。
在另一实施例中,用于形成半导体器件的方法包括:沿着衬底上的栅极结构的侧壁形成间隔件部件,该间隔件部件包括处理的密封间隔件层和块状间隔件层,其中,栅极结构形成在有源区上方,有源区包括衬底上的源极/漏极区域,源极/漏极区域靠近栅极结构,并且沿着栅极结构的侧壁去除栅极结构和处理的密封间隔件层的至少部分,其中,去除栅极结构的同时保持沿着源极/漏极区域的处理的密封间隔件层。在实施例中,沿着栅极结构的侧壁去除栅极结构和处理的密封间隔件层的至少部分还包括:保持沿着栅极结构的侧壁并且沿着源极/漏极区域的块状间隔件层。在实施例中,栅极结构是伪栅极结构。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体器件,包括:
衬底上的有源区,所述有源区包括源极/漏极区域;
栅极结构,位于所述有源区上方,所述源极/漏极区域靠近所述栅极结构;
间隔件部件,具有沿着所述栅极结构的侧壁的第一部分并且具有沿着所述源极/漏极区域的第二部分,其中,所述间隔件部件的第一部分包括沿着所述栅极结构的侧壁的块状间隔件层,其中,所述间隔件部件的第二部分包括所述块状间隔件层和处理的密封间隔件层,所述处理的密封间隔件层沿着所述源极/漏极区域设置并且位于所述块状间隔件层和所述源极/漏极区域之间;以及
接触蚀刻停止层,位于所述间隔件部件上。
2.根据权利要求1所述的半导体器件,还包括:
层间介电层,位于所述接触蚀刻停止层上。
3.根据权利要求1所述的半导体器件,其中,所述块状间隔件层具有小于4的介电常数。
4.根据权利要求1所述的半导体器件,其中,所述块状间隔件层是不含氮的碳氧化硅材料。
5.根据权利要求1所述的半导体器件,其中,所述处理的密封间隔件层具有大于所述块状间隔件层的介电常数。
6.根据权利要求1所述的半导体器件,其中,所述处理的密封间隔件层具有比所述块状间隔件层更大的膜密度。
7.根据权利要求1所述的半导体器件,其中,所述栅极结构包括设置在高介电常数层上的金属栅电极。
8.根据权利要求1所述的半导体器件,其中,所述接触蚀刻停止层是含氮化硅的材料。
9.一种用于形成半导体器件的方法,包括:
通过使用第一工艺气体的第一原子层沉积工艺在衬底上形成密封间隔件层;
通过第二工艺气体等离子体处理所述密封间隔件层以形成处理的密封间隔件层,其中,所述第一工艺气体与所述第二工艺气体不同;
通过使用所述第一工艺气体的第二原子层沉积工艺在所述处理的密封间隔件层上形成块状间隔件层;以及
沿着栅极结构的侧壁将所述处理的密封间隔件层和所述块状间隔件层图案化成栅极间隔件部件。
10.一种用于形成半导体器件的方法,包括:
沿着衬底上的栅极结构的侧壁形成间隔件部件,所述间隔件部件包括处理的密封间隔件层和块状间隔件层,其中,所述栅极结构形成在有源区上方,所述有源区包括所述衬底上的源极/漏极区域,所述源极/漏极区域靠近所述栅极结构;以及
沿着所述栅极结构的侧壁去除所述栅极结构和所述处理的密封间隔件层的至少部分,其中,去除所述栅极结构的同时保持沿着所述源极/漏极区域的所述处理的密封间隔件层。
CN201810688284.6A 2017-09-29 2018-06-28 半导体器件及其形成方法 Active CN109585554B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565848P 2017-09-29 2017-09-29
US62/565,848 2017-09-29
US15/874,278 2018-01-18
US15/874,278 US10483372B2 (en) 2017-09-29 2018-01-18 Spacer structure with high plasma resistance for semiconductor devices

Publications (2)

Publication Number Publication Date
CN109585554A true CN109585554A (zh) 2019-04-05
CN109585554B CN109585554B (zh) 2022-04-19

Family

ID=65898200

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810688284.6A Active CN109585554B (zh) 2017-09-29 2018-06-28 半导体器件及其形成方法

Country Status (5)

Country Link
US (4) US10483372B2 (zh)
KR (1) KR102030083B1 (zh)
CN (1) CN109585554B (zh)
DE (1) DE102018108007A1 (zh)
TW (1) TWI666768B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113394100A (zh) * 2021-03-24 2021-09-14 上海华虹宏力半导体制造有限公司 半导体器件及其制造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US11967532B2 (en) * 2021-03-31 2024-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming the same in semiconductor devices

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104241369A (zh) * 2013-06-24 2014-12-24 三星电子株式会社 半导体器件
US20150287784A1 (en) * 2007-10-16 2015-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing Resistance in Source and Drain Regions of FinFETs
US20160020301A1 (en) * 2014-07-21 2016-01-21 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US20160111542A1 (en) * 2014-10-17 2016-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finfet) device and method for forming the same
US20160197182A1 (en) * 2015-01-06 2016-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Finfet and method for forming the same
WO2016126911A2 (en) * 2015-02-06 2016-08-11 Air Products And Chemicals, Inc. Compositions and methods using same for carbon doped silicon containing films
TW201712866A (zh) * 2015-09-18 2017-04-01 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7585716B2 (en) * 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
US7700449B2 (en) * 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
DE102008059501B4 (de) * 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9443961B2 (en) * 2013-03-12 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor strips with undercuts and methods for forming the same
FR3011386B1 (fr) * 2013-09-30 2018-04-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Transistor mos a espaceurs d'air
US9252271B2 (en) 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9252233B2 (en) * 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
US9337316B2 (en) 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9536879B2 (en) * 2014-07-09 2017-01-03 International Business Machines Corporation FinFET with constrained source-drain epitaxial region
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9691900B2 (en) * 2014-11-24 2017-06-27 International Business Machines Corporation Dual epitaxy CMOS processing using selective nitride formation for reduced gate pitch
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
KR102321390B1 (ko) * 2014-12-18 2021-11-04 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9337094B1 (en) * 2015-01-05 2016-05-10 International Business Machines Corporation Method of forming contact useful in replacement metal gate processing and related semiconductor structure
US9412659B1 (en) * 2015-01-29 2016-08-09 Globalfoundries Inc. Semiconductor structure having source/drain gouging immunity
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9564372B2 (en) * 2015-06-16 2017-02-07 International Business Machines Corporation Dual liner silicide
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102526580B1 (ko) * 2016-01-11 2023-04-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102458923B1 (ko) * 2016-02-01 2022-10-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9780092B2 (en) * 2016-02-19 2017-10-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having a filling conductor comprising a plug portion and a cap portion and manufacturing method thereof
KR102400361B1 (ko) * 2016-03-18 2022-05-20 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9893171B2 (en) * 2016-06-03 2018-02-13 International Business Machines Corporation Fin field effect transistor fabrication and devices having inverted T-shaped gate
US10734482B2 (en) * 2016-06-08 2020-08-04 Intel Corporation Quantum dot devices
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10121675B2 (en) * 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
KR102068395B1 (ko) * 2017-03-29 2020-01-21 매그나칩 반도체 유한회사 낮은 소스-드레인 저항을 갖는 반도체 소자 구조 및 그 제조 방법
US10269621B2 (en) * 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
TWI716601B (zh) * 2017-06-06 2021-01-21 聯華電子股份有限公司 半導體元件及其製作方法
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10727226B2 (en) * 2017-07-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10629496B2 (en) * 2017-07-31 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming transistor gates with hafnium oxide layers and lanthanum oxide layers
US10276714B2 (en) * 2017-08-09 2019-04-30 International Business Machines Corporation Twin gate field effect diode
US10510860B2 (en) * 2017-08-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10170317B1 (en) * 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US11145747B2 (en) * 2017-10-25 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure
US10319833B1 (en) * 2017-12-04 2019-06-11 International Business Machines Corporation Vertical transport field-effect transistor including air-gap top spacer
US10763363B2 (en) * 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150287784A1 (en) * 2007-10-16 2015-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing Resistance in Source and Drain Regions of FinFETs
CN104241369A (zh) * 2013-06-24 2014-12-24 三星电子株式会社 半导体器件
US20160020301A1 (en) * 2014-07-21 2016-01-21 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US20160111542A1 (en) * 2014-10-17 2016-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finfet) device and method for forming the same
US20160197182A1 (en) * 2015-01-06 2016-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Finfet and method for forming the same
WO2016126911A2 (en) * 2015-02-06 2016-08-11 Air Products And Chemicals, Inc. Compositions and methods using same for carbon doped silicon containing films
TW201712866A (zh) * 2015-09-18 2017-04-01 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113394100A (zh) * 2021-03-24 2021-09-14 上海华虹宏力半导体制造有限公司 半导体器件及其制造方法
CN113394100B (zh) * 2021-03-24 2024-04-12 上海华虹宏力半导体制造有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
US10804374B2 (en) 2020-10-13
KR102030083B1 (ko) 2019-10-08
US20210028293A1 (en) 2021-01-28
US20190103475A1 (en) 2019-04-04
KR20190038274A (ko) 2019-04-08
US20200013872A1 (en) 2020-01-09
DE102018108007A1 (de) 2019-04-04
US10483372B2 (en) 2019-11-19
US20200127112A1 (en) 2020-04-23
TWI666768B (zh) 2019-07-21
US11329141B2 (en) 2022-05-10
US10516036B1 (en) 2019-12-24
TW201916360A (zh) 2019-04-16
CN109585554B (zh) 2022-04-19

Similar Documents

Publication Publication Date Title
KR102101750B1 (ko) 반도체 구조물 커팅 프로세스 및 이에 의해 형성되는 구조물들
KR102146405B1 (ko) 로우 k 특징부 형성 프로세스 및 그에 의해 형성된 구조물
KR102612342B1 (ko) 반도체 디바이스용 층간 유전체 구조물 내의 라이너 구조물
US11855178B2 (en) Semiconductor devices having air-gap
US20210193469A1 (en) Self-Protective Layer Formed on High-K Dielectric Layer
CN105529357A (zh) 用于FinFET的方法和结构
US9530889B2 (en) Semiconductor device and manufacturing method thereof
US11038054B2 (en) Asymmetric source and drain structures in semiconductor devices
US11329141B2 (en) Spacer structure with high plasma resistance for semiconductor devices
KR102115253B1 (ko) 차등층 형성 프로세스 및 그에 의해 형성되는 구조물
CN113224055A (zh) 集成电路结构和形成半导体器件的方法
US10651296B2 (en) Methods of fabricating Fin Field Effect Transistor (FinFET) devices with uniform tension using implantations on top and sidewall of Fin
US20230326746A1 (en) Low-k Feature Formation Processes and Structures Formed Thereby
KR102090771B1 (ko) 반도체 디바이스 및 방법
TWI821658B (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant