CN109585371A - 集成电路布局方法、结构和系统 - Google Patents

集成电路布局方法、结构和系统 Download PDF

Info

Publication number
CN109585371A
CN109585371A CN201810735698.XA CN201810735698A CN109585371A CN 109585371 A CN109585371 A CN 109585371A CN 201810735698 A CN201810735698 A CN 201810735698A CN 109585371 A CN109585371 A CN 109585371A
Authority
CN
China
Prior art keywords
unit
pin
boundary
interval
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810735698.XA
Other languages
English (en)
Other versions
CN109585371B (zh
Inventor
黄博祥
陈胜雄
张丰愿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109585371A publication Critical patent/CN109585371A/zh
Application granted granted Critical
Publication of CN109585371B publication Critical patent/CN109585371B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0214Particular design considerations for integrated circuits for internal polarisation, e.g. I2L
    • H01L27/0218Particular design considerations for integrated circuits for internal polarisation, e.g. I2L of field effect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明的实施例提供了集成电路布局方法、结构和系统。一种生成IC的布局的方法包括识别IC布局的第一单元中的目标引脚,第一单元与第二单元相邻并且与第二单元共享边界,并且确定目标引脚是否能够延伸到第二单元中。基于确定目标引脚能够延伸到第二单元中,修改目标引脚以包括到第二单元中的延伸件,由此目标引脚跨过共享边界。通过计算机的处理器执行识别、确定和修改中的至少一个。

Description

集成电路布局方法、结构和系统
技术领域
本发明的实施例总体涉及电子电路领域,更具体地,涉及集成电路布局方法、结构和系统。
背景技术
集成电路(IC)通常包括多个半导体器件。一种用于表示半导体器件的方法是称为布局图或IC布局的平面图。IC布局是分层的,并且包括根据半导体器件的设计规范执行较高级功能的模块。这些模块通常由包括标准单元和定制单元的单元的组合构建,每个单元表示一个或多个半导体结构。
各标准单元包括配置为提供常用的较低级逻辑功能的逻辑器件,并且具有大小相同的至少一个尺寸以便于放置到布局中。典型地,具有固定尺寸的方向平行于垂直方向,从而使得固定的尺寸称为标准单元的高度。定制单元格可以具有或可以不具有与标准单元的相应尺寸大小相同的至少一个尺寸。
为了实现至互连结构的布线以形成更高级的IC模块,标准单元和定制单元两者都包括导电引脚,每个单元的引脚数量取决于由单元提供的逻辑功能。
发明内容
根据本发明的一个方面,提供了一种生成集成电路(IC)的布局的方法,所述方法包括:识别集成电路布局的第一单元中的目标引脚,所述第一单元与第二单元相邻并与所述第二单元共享边界;确定所述目标引脚是否能够延伸到所述第二单元中;以及基于确定所述目标引脚能够延伸到所述第二单元中,修改所述目标引脚以包括到所述第二单元中的延伸件,由此所述目标引脚跨过所述共享边界,其中,通过计算机的处理器执行所述识别、所述确定和所述修改中的至少一个。
根据本发明的另一个方面,提供了一种半导体结构,包括:第一器件区,所述第一器件区包括整个第一逻辑器件的第一有源区;第二器件区,所述第二器件区包括整个第二逻辑器件的第二有源区,其中,所述第二器件区与所述第一器件区共享边界;第一金属零层引脚,部分地位于所述第一器件区内、部分位于所述第二器件区内并且延伸跨过所述边界;以及通孔,接触所述第一金属零层引脚,其中,从所述通孔的中心至所述边界的距离小于或等于基于金属零层最小通孔外围长度的第一预定距离。
根据本发明的又一个方面,提供了一种集成电路(IC)布局生成系统,包括:处理器;以及非暂时性计算机可读存储介质,包括用于一个或多个程序的计算机程序代码,所述非暂时性计算机可读存储介质和所述计算机程序代码配置为利用所述处理器使得所述系统:接收第一单元,所述第一单元包括第一金属零层结构;接收第二单元;在集成电路布局中,将所述第一单元放置为与所述第二单元相邻,由此所述第一单元和所述第二单元共享边界;接收金属零层间隔规则;基于所述金属零层间隔规则,延伸所述第一金属零层结构以跨过所述边界并且延伸到所述第二单元中;和基于所述第一单元和所述第二单元生成半导体结构的布局。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减小。
图1是根据一些实施例的生成IC的布局的方法的流程图。
图2A至图2E示出根据一些实施例的在生成IC布局的各个阶段处的IC布局。
图2F至图2J示出根据一些实施例的在生成IC布局的各个阶段处的IC布局。
图3是根据一些实施例的更新单元的边界间隔配置的方法的流程图。
图4A和图4B示出根据一些实施例的在更新边界间隔配置的两个阶段处的单元的布局。
图5是根据一些实施例的确定进入到相邻单元中的目标引脚的可延伸性的方法的流程图。
图6是根据一些实施例的IC布局的图。
图7A和图7B是根据一些实施例的半导体结构的图。
图8是根据一些实施例的IC布局生成系统的示意图。
图9示出根据一些实施例的IC制造系统和与其相关联的IC制造流程。
图10是APR设计中基于时序驱动的布局布线的设计流程图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件、值、操作、材料、布置等的特定实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。其他组件、值、操作、材料、布置等是预期的。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明在各个实例中可以重复参考标号和/或字母。这种重复是为了简明和清楚,但是其本身没有指明所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…下方”、“在…下面”、“下部”、“在…之上”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。
在各个实施例中,生成IC布局包括识别第一单元中的目标引脚,第一单元与第二单元共享边界,确定目标引脚是否能够延伸到第二单元中,以及,基于确定目标引脚能够延伸到第二单元中,修改目标引脚以包括到第二单元中的延伸件。由此形成的半导体结构包括位于由第一单元和第二单元限定的区域内的目标引脚。
延伸的目标引脚能够具有至金属引线的电连接,其中,金属引线沿着共享边界上或附近的轨迹进行定位。该电连接使得第一单元具有比相同尺寸的单元更多数量的电连接,其中,相同尺寸的单元不能够具有至金属引线的电连接,其中,金属引线沿着单元边界上或附近的轨迹进行定位。与其中单元不能够具有至金属引线(其中,金属引线沿着单元边界上或附近的轨迹进行定位)的电连接的方法相比,在不增加单元尺寸的情况下,用于半导体器件的整体布线灵活性由此增加。
图1是根据一些实施例的生成IC的布局的方法100的流程图。方法100的操作能够作为形成半导体结构的方法的部分来实施。在一些实施例中,形成半导体结构是形成一个或多个半导体器件的部分,其非限制性实例包括存储器电路、逻辑器件、处理器件、信号处理电路等。
在一些实施例中,方法100的一些或全部操作能够作为自动化布置和布线(APR)方法的部分来实施。在一些实施例中,方法100的一些或全部操作能够通过APR系统来实施。APR的目的是将芯片的前端设计提供的门级网表(netlist)实现成版图(layout),并且确定各个电路单元其几何形状、大小及位置,同时确定各单元之间的连接关系。在大规模数字集成电路中,一般多采用用自动布局布线工具来实现。关于APR的设计流程将在下文中参考图10进行描述。
在一些实施例中,以图1所示的顺序实施方法100的操作。在一些实施例中,可以以除了图1所示的顺序之外的顺序来实施方法100的操作。在一些实施例中,在实施方法100的一个或多个操作之前、之间、期间和/或之后实施一个或多个操作。
在一些实施例中,通过计算机的处理器执行方法100的一些或全部。在一些实施例中,由IC布局生成系统800的处理器802执行方法100的一些或全部,下面相对于图8进行讨论
图2A至图2E示出在一些实施例中IC布局200的通过执行方法100的一个或多个操作而生成IC布局200的各个阶段处的第一非限制性实例。图2F至图2J示出在一些实施例中IC布局210的通过执行方法100的一个或多个操作而生成IC布局210的各个阶段处的第二非限制性实例。为了清楚,简化IC布局200和210。在各个实施例中,IC布局200和210中的一个或两个包括除了图2A至图2J中所描述的那些之外的部件(例如,一个或多个晶体管元件、电源导轨、隔离结构等)。图2A至图2J中的每个还示出水平方向X和垂直方向Y。
在可选操作105处,在一些实施例中,接收一个或多个布局规则。在一些实施例中,接收一个或多个布局规则包括接收一个或多个电子文件,其中,该一个或多个电子文件包括作为IC制造流程(例如IC制造系统900,下面相对于图9进行讨论)的部分的IC制造系统可用的数据。
在一些实施例中,接收一个或多个布局规则包括从布局规则存储器件接收一个或多个布局规则。在一些实施例中,接收一个或多个布局规则包括接收IC布局生成系统800的布局规则820,下面相对于图8进行讨论。
在一些实施例中,接收一个或多个布局规则包括通过网络接收一个或多个布局规则。在一些实施例中,接收一个或多个布局规则包括通过IC布局生成系统800的网络814接收一个或多个布局规则,下面相对于图8进行讨论。
在可选操作110处,在一些实施例中,接收第一单元。在一些实施例中,第一单元是标准单元的布局。在一些实施例中,第一单元是工程变更指令(ECO)单元的布局。在一些实施例中,第一单元是逻辑单元的布局。在一些实施例中,第一单元是存储器单元的布局。在一些实施例中,第一单元是定制单元的布局。
在一些实施例中,接收第一单元包括接收IC布局生成系统800的一个或多个布局822,下面相对于图8进行讨论。
在一些实施例中,接收第一单元包括接收一个或多个电子文件,其中,该一个或多个电子文件包括作为IC制造流程(例如,IC制造系统900,下面相对于图9进行讨论)的部分的IC制造系统可用的数据。
在一些实施例中,接收第一单元包括从单元库接收第一单元。在一些实施例中,接收第一单元包括通过网络接收第一单元。在一些实施例中,接收第一单元包括通过IC布局生成系统800的网络814接收第一单元,下面相对于图8进行讨论。
第一单元包括有源区(也称为氧化物扩散(OD)区)、栅极和引脚(也称为导电结构)。有源区和栅极是能够实施开关或逻辑功能的晶体管的部分。该引脚电连接至有源区和/或栅极。
在一些实施例中,第一单元包括除了有源区、栅极和引脚之外的部件。在一些实施例中,有源区是第一单元中的多个有源区中的一个有源区。在一些实施例中,栅极是第一单元中的多个栅极中的一个栅极。在一些实施例中,该引脚是第一单元中的多个引脚中的一个引脚。
在一些实施例中,有源区和栅极是第一单元中的平面晶体管的部分。在一些实施例中,有源区和栅极是第一单元中的鳍式场效应晶体管(FinFET)的部分。在一些实施例中,有源区和栅极是第一单元中的多个晶体管的部分。
在一些实施例中,引脚是金属零层(metal zero,M0)结构。在一些实施例中,引脚包括配置为通过接触有源区来提供一个或多个电连接的一个或多个氧化物层上方的金属零层(metal-zero-over-oxide-layers)。在一些实施例中,引脚包括配置为通过接触栅极来提供一个或多个电连接的一个或多个多晶硅层上方的金属零层(metal-zero-over-poly-layers)。
在一些实施例中,接收第一单元包括接收在单元的一个或多个边界处具有金属零层切口的第一单元,从而使得没有引脚邻接一个或多个边界。在一些实施例中,接收第一单元包括接收在单元的一个或多个边界处没有金属零层切口的第一单元,从而使得至少一个引脚邻接一个或多个边界。
在可选操作115处,在一些实施例中,更新第一单元的边界间隔配置。在一些实施例中,在操作110之前实施操作115,并且在已经更新第一单元的边界间隔配置之后接收第一单元。
在一些实施例中,更新第一单元的边界间隔配置包括增加第一单元的引脚和边界之间的间隔。在一些实施例中,更新第一单元的边界间隔配置包括实施方法300的一个或多个操作,下面相对于图3进行讨论。
图2A示出根据一些实施例的更新第一单元200A的边界间隔配置的非限制性实例。第一单元200A包括引脚PA1、PA2和PA3以及沿着Y方向的边界200AB。如左图所示,单元200A的初始边界间隔配置包括在引脚PA2和边界200AB之间沿着X方向的间隔S1。如右图所示,单元200A的更新的边界间隔配置包括在引脚PA2和边界200AB之间沿着X方向的间隔S2,其中,间隔S2大于间隔S1。
在图2A所示的实施例中,通过包括大于间隔S1的间隔S2来更新第一单元200A的边界间隔配置对应于在第一单元200A内向左移动引脚PA2。在图2A所示的实施例中,通过包括大于间隔S1的间隔S2来更新第一单元200A的边界间隔配置对应于减小引脚PA2的尺寸。
图2F示出根据一些实施例的更新第一单元210A的边界间隔配置的非限制性实例。第一单元210A包括引脚PA4、PA5和PA6以及沿着X方向的边界210AB。如左图所示,单元210A的初始边界间隔配置包括在引脚PA5和边界210AB之间沿着Y方向的间隔S3。如右图所示,单元210A的更新的边界间隔配置包括在引脚PA5和边界210AB之间沿着Y方向的间隔S4,其中,间隔S4大于间隔S3。
在图2F所示的实施例中,通过包括大于间隔S3的间隔S4来更新第一单元210A的边界间隔配置对应于在第一单元210A内向上移动引脚PA5。在一些实施例中,通过包括大于间隔S3的间隔S4来更新第一单元210A的边界间隔配置对应于减小引脚PA5的尺寸。
在可选操作120处,在一些实施例中,接收第二单元。以上述在操作110中用于接收第一单元的方式来接收第二单元。在一些实施例中,同时实施操作110和120,并且一起接收第一单元和第二单元。
在一些实施例中,接收第二单元包括接收IC布局生成系统800的一个或多个布局822,下面相对于图8进行讨论。
在可选操作125处,在一些实施例中,在IC布局中将第一单元和第二单元放置为彼此相邻,从而形成共享边界。在一些实施例中,将第一单元放置为与第二单元相邻包括使用与在操作115处增加的间隔相对应的边界来形成共享边界。在一些实施例中,将第一单元放置为与第二单元相邻包括使用如上面参考图2A所讨论的单元200A的边界200AB来形成共享边界。在一些实施例中,将第一单元放置为与第二单元相邻包括使用如上面参考图2F所讨论的单元210A的边界210AB来形成共享边界。
图2B示出根据一些实施例的包括彼此相邻放置的第一单元200A和第二单元200B的IC布局200的非限制性实例。第一单元200A和第二单元200B共享沿着Y方向的边界200SB,其中,使用单元200A的边界200AB形成边界200SB,如上面相对于图2A所讨论的。除了第一单元200A和第二单元200B之外,图2B示出轨迹T1至T10。
第二单元200B包括沿着X方向与第一单元200A的引脚PA1对准的引脚PB1、沿着X方向与第一单元200A的引脚PA2对准的引脚PB2,以及沿着X方向与第一单元200A的引脚PA2对准的引脚PB3。
在图2B所示的实施例中,引脚PB1、PB2和PB3中的每个都邻接共享边界200SB。在一些实施例中,引脚PB1、PB2或PB3中的一个或多个在第二单元200B内沿着X方向从共享边界200SB处偏移,从而使得引脚PB1、PB2或PB3中的一个或多个不邻接共享边界200SB。
轨迹T1至T10对应于沿着X方向放置通孔的位置,以形成至引脚PA1、PA2、PA3、PB1、PB2或PB3中的一个或多个的电连接。在图2B所示的实施例中,基于第一单元200A和第二单元200B的放置以及轨迹位置,轨迹T5是最接近共享边界200SB的轨迹。
在图2B所示的实施例中,轨迹T5沿着X方向从共享边界200SB偏移距离O1并且位于第一单元200A上方。在一些实施例中,轨迹T5或最靠近共享边界200SB的另一轨迹从共享边界200SB偏移并且位于第二单元200B上方。在一些实施例中,轨迹T5或最靠近共享边界200SB的另一轨迹不从共享边界200SB偏移并且位于共享边界200SB上方。
图2G示出根据一些实施例的包括彼此相邻放置的第一单元210A和第二单元210B的IC布局210的非限制性实例。第一单元210A和第二单元210B共享沿着X方向的边界210SB,其中,使用上面相对于图2F进行讨论的单元210A的边界210AB形成边界210SB。除了第一单元210A和第二单元210B之外,图2G示出轨迹T11至T20。
第二单元210B包括沿着Y方向与第一单元210A的引脚PA4对准的引脚PB4、沿着Y方向与第一单元210A的引脚PA5对准的引脚PB5以及沿着Y方向与第一单元210A的引脚PA6对准的引脚PB6。
在图2G所示的实施例中,引脚PB4、PB5和PB6中的每个都邻接共享边界210SB。在一些实施例中,引脚PB4、PB5和PB6中的一个或多个在第二单元210B内沿着Y方向从共享边界210SB偏移,从而使得引脚PB4、PB5和PB6中的一个或多个不邻接共享边界210SB。
轨迹T11至T20对应于沿着Y方向放置通孔的位置,以形成至引脚PA4、PA5、PA6、PB4、PB5和PB6中的一个或多个的电连接。在图2G所示的实施例中,基于第一单元210A和第二单元210B的放置以及轨迹位置,轨迹T15是最接近共享边界210SB的轨迹。
在图2G所示的实施例中,轨迹T15沿着Y方向从共享边界210SB偏移距离O2并且位于第一单元210A上方。在一些实施例中,轨迹T15或最靠近共享边界210SB的另一轨迹从共享边界210SB偏移并且位于第二单元210A上。在一些实施例中,轨迹T15或最靠近共享边界210SB的另一轨迹不从共享边界210SB偏移并且位于共享边界210SB上方。
在操作130处,识别第二单元中的目标引脚。在一些实施例中,识别目标引脚是基于用于确定电连接至第二单元的布线的算法。在一些实施例中,识别目标引脚是基于其中顺序地识别第二单元中的引脚的迭代工艺。在一些实施例中,识别目标引脚包括从用户界面或网络接收指令。
在一些实施例中,识别目标引脚是基于第二单元中的一个或多个引脚的定位信息。在一些实施例中,识别目标引脚是基于第一单元中的一个或多个引脚的定位信息。在一些实施例中,识别目标引脚是基于第一单元的边界间隔配置。在一些实施例中,识别目标引脚是基于操作115,其中,更新第一单元的边界间隔配置。
在操作135处,确定目标引脚是否能够延伸到第一单元中。确定目标引脚是否能够延伸到第一单元中是基于目标引脚、共享边界、第一单元中与目标引脚对准并且从目标引脚跨过共享边界的一个或多个部件和最接近边界的轨迹的位置数据。
在一些实施例中,确定目标引脚是否能够延伸到第一单元中是基于IC布局生成系统800的位置数据824,下面将相对于图8进行讨论。
在一些实施例中,确定目标引脚是否能够延伸到第一单元中是基于一个或多个引脚在第一单元中的定位。在一些实施例中,确定目标引脚是否能够延伸到第一单元中包括实施方法500的一个或多个操作,下面将相对于图5进行讨论。
在一些实施例中,基于确定目标引脚不能够延伸到第一单元中,重复操作130以识别第二单元中的另一目标引脚。
在操作140处,基于确定目标引脚能够延伸到第一单元中,修改目标引脚以包括进入第一单元中的延伸件,从而使得目标引脚跨过共享边界。修改目标引脚以包括进入第一单元中的延伸件包括根据在操作135中确定目标引脚是否能够延伸进入第一单元中来添加延伸件。
在一些实施例中,由于第一单元和第二单元包括金属零层部件,并且在将第一单元和第二单元放置到IC布局中之后实施修改目标引脚以包括进入第一单元中的延伸件,修改目标引脚以包括进入第一单元中的延伸件也称为后金属零层布线。在一些实施例中,后金属零层布线是APR方法的部分。
在一些实施例中,修改目标引脚以包括进入第一单元中的延伸件包括在操作135中添加具有使用方法500计算的长度的延伸件。在一些实施例中,修改目标引脚以包括进入第一单元中的延伸件包括在操作135中添加具有比使用方法500计算的长度更大的长度的延伸件。
图2C示出IC布局200的非限制性实例,其中,已经修改引脚PB2以包括延伸件PB2EXT。延伸件PB2EXT使得引脚PB2沿着X方向从第二单元200B延伸到第一单元200A中,从而跨过共享边界200SB。
在图2C所示的实施例中,延伸件PB2EXT位于轨迹T5下方。在其中最靠近共享边界200SB的轨迹T5或另一轨迹位于共享边界200SB或第二单元200B上的一些实施例中,延伸件PB2EXT没有在最靠近共享边界200SB的轨迹T5或其他轨迹下。
通过包括跨过共享边界200SB的延伸件PB2EXT,引脚PB2能够比未跨过单元边界延伸的引脚具有更多数量的布线连接,由此在不增加单元尺寸的情况下增加了布线灵活性。
图2H示出IC布局210的非限制性实例,其中,已经修改引脚PB5以包括延伸件PB5EXT。延伸件PB5EXT使得引脚PB5沿着Y方向从第二单元210B延伸到第一单元210A中,从而跨过共享边界210SB。
在图2H所示的实施例中,延伸件PB5EXT在轨迹T15下。在其中最靠近共享边界210SB的轨迹T15或另一轨迹在共享边界210SB或第二单元210B上的一些实施例中,延伸件PB5EXT没有在最靠近共享边界210SB的轨迹T15或其他轨迹下。
通过包括跨过共享边界210SB的延伸件PB5EXT,引脚PB5能够比未跨过单元边界延伸的引脚具有更多数量的布线连接,由此在不增加单元尺寸的情况下增加布线灵活性。
在可选操作145处,在一些实施例中,将引线切口施加至除了目标引脚之外的引脚。在一些实施例中,施加引线切口包括将引线切口施加至第二单元中除了目标引脚之外的一个或多个引脚。在一些实施例中,施加引线切口包括将引线切口施加至第一单元中的一个或多个引脚。
在一些实施例中,将引线切口施加至除了目标引脚之外的引脚是后金属零层布线操作的部分。在一些实施例中,将引线切口施加至除了目标引脚之外的引脚是APR方法的后金属零层布线操作的部分。在一些实施例中,通过作为后金属零层布线操作的部分,将引线切口施加至除了目标引脚之外的引脚便于布线流程,通过该布线流程可以增加布线灵活性,如上文相对于操作140所讨论的。
图2D示出IC布局200的非限制性实例,其中,已经将引线切口PB1CUT施加至引脚PB1,由此增加了引脚PB1和共享边界200SB之间沿着X方向的间隔,并且已经将引线切口PB3CUT施加至引脚PB3,从而增加了引脚PB3和共享边界200SB之间沿着X方向的间隔。
在图2D所示的实施例中,在共享边界200SB处将引线切口PB1CUT和PB3CUT施加至第二单元200B。在各个实施例中,在除了共享边界200SB以外的一个或多个位置处将一个或多个引线切口施加至第一单元200A和第二单元200B中的一个或两个。
图2I示出IC布局210的非限制性实例,其中,已经将引线切口PB4CUT施加至引脚PB4,由此增加了引脚PB4和共享边界210SB之间沿着X方向的间隔,并且已经将引线切口PB6CUT施加至引脚PB6,由此增加了引脚PB6和共享边界210SB之间沿着X方向的间隔。
在图2I所示的实施例中,在共享边界210SB处,将引线切口PB4CUT和PB6CUT施加至第二单元210B。在各个实施例中,在除了共享边界210SB之外的一个或多个位置处将一个或多个引线切口施加至第一单元210A和第二单元210B中的一个或两个。
在可选操作150处,在一些实施例中,形成至目标引脚延伸件的电连接件。在一些实施例中,形成电连接件包括形成位于最靠近共享边界的轨迹处的电连接件。
在一些实施例中,形成电连接件包括形成在共享边界上的电连接件。在一些实施例中,形成电连接件包括形成在第一单元和第二单元两者上的电连接件。在一些实施例中,形成电连接件包括形成在第一单元和第二单元中的仅一个上方的电连接件。
在一些实施例中,形成电连接件包括形成位于目标引脚延伸件上方并接触目标引脚延伸件的通孔。在一些实施例中,形成电连接件包括在通孔零层中形成通孔。
在一些实施例中,形成电连接件包括形成在通孔上且接触通孔的金属引线。在一些实施例中,形成电连接件包括在金属层1(M1)中形成金属引线。
图2E示出IC布局200的非限制性实例,其中,通孔VIA1位于延伸件PB2EXT上方且接触延伸件PB2EXT,以及金属引线WIRE1位于通孔VIA1上方且接触通孔VIA1。在图2E所示的实施例中,通孔VIA1和金属引线WIRE1具有相同的宽度(未标记)并且在X方向上沿着延伸件PB2EXT延伸相同的距离。在一些实施例中,金属引线WIRE1比通孔VIA1更宽并且在X方向上沿着延伸件PB2EXT延伸的距离比通孔VIA1在X方向上沿着延伸件PB2EXT延伸的距离更大。
在图2E所示的实施例中,通孔VIA1和金属引线WIRE1中的每个位于第一单元200A、共享边界200SB和第二单元200B上方。在一些实施例中,金属引线WIRE1位于第二单元200B上方并且通孔VIA1没有位于第二单元200B上方。在一些实施例中,金属引线WIRE1位于第一单元200B上方并且通孔VIA1没有位于第一单元200B上方。
图2J示出IC布局210的非限制性实例,其中,通孔VIA2位于延伸件PB5EXT上方并且接触延伸件PB5EXT以及金属引线WIRE2位于通孔VIA2上方并且接触通孔VIA2。在图2J所示的实施例中,通孔VIA2和金属引线WIRE2具有相同的宽度(未标记)并且在Y方向上沿着延伸件PB5EXT延伸相同的距离。在一些实施例中,金属引线WIRE2比通孔VIA2更宽并且在Y方向上沿着延伸件PB5EXT延伸的距离比通孔VIA2在Y方向上沿着延伸件PB5EXT延伸的距离更大。
在图2J所示的实施例中,通孔VIA2和金属引线WIRE2中的每个在第一单元210A、共享边界210SB和第二单元210B上。在一些实施例中,金属引线WIRE2位于第二单元210B上方并且通孔VIA2没有位于第二单元210B上方。在一些实施例中,金属引线WIRE2位于第一单元210B上方并且通孔VIA2没有位于第一单元210B上方。
在可选操作155处,在一些实施例中,基于IC布局,实施一个或多个额外的制造操作。在一些实施例中,实施一个或多个额外的制造操作包括生成表示IC布局的一个或多个文件。在一些实施例中,实施一个或多个额外的制造操作包括生成可用于制造一个或多个半导体结构的一组或多组掩模。
在一些实施例中,实施一个或多个额外的制造操作包括更新和/或存储单元库中的一个或多个单元。在一些实施例中,实施一个或多个额外的制造操作包括更新和/或存储一个或多个布线算法。
通过执行方法100的操作,目标引脚能够具有至金属引线的电连接件,其中,金属引线沿着共享边界上或附近的轨迹进行定位。该电连接件使得第二单元比没能具有至金属引线的电连接件的相同尺寸的单元具有更多数量的电连接件,其中,该金属引线沿着单元边界上或附近的轨迹进行定位。
通过将电连接布线至目标引脚,可将本来用于电连接至另一第二单元引脚位置的间隔用于将电连接布线至其他附近的单元(例如第二单元之上或下面的单元)。与其中单元没能具有至金属引线(其中,金属引线沿着单元边界上或附近的轨迹进行定位)的电连接的方法相比,在不增加单元尺寸的情况下,半导体结构的整体布线灵活性由此增加。
图3是根据一些实施例的更新单元的边界间隔配置的方法300的流程图。方法300可用作方法100的操作115中的一个或多个(上面相对于图1至图2J进行讨论)或方法500的操作520或540(下面相对于图5和图6进行讨论)。
方法300的操作能够作为形成半导体结构的方法的部分来实施。在一些实施例中,形成半导体结构是形成一个或多个半导体器件的部分,半导体器件的非限制性实例包括存储器电路、逻辑器件、处理器件、信号处理电路等。
在一些实施例中,方法300的一些或全部操作能够作为APR方法的部分来实施。在一些实施例中,方法300的一些或全部操作能够通过APR系统来实施。
在一些实施例中,以图3所示的顺序实施方法300的操作。在一些实施例中,可以以除了图3所示的顺序之外的顺序来实施方法300的操作。在一些实施例中,在实施方法300的一个或多个操作之前、之间、期间和/或之后实施一个或多个操作。
在一些实施例中,通过计算机的处理器执行方法300的一些或全部。在一些实施例中,通过IC布局生成系统800的处理器802来执行方法300的一些或全部,下面相对于图8进行讨论
图4A和图4B示出在一些实施例中单元400在通过执行方法300的一个或多个操作来更新边界间隔配置的两个阶段处的布局的非限制性实例。为了清楚,简化单元400。在各个实施例中,单元400包括除了图4A和图4B中所示的部件之外的部件(例如,一个或多个晶体管元件、电源导轨、隔离结构等)。图4A和图4B中的每个还示出方向X和Y。
在图4A和图4B所示的非限制性实例中,基于沿着X方向修改边界引脚来更新边界间隔配置。在一些实施例中,基于沿着Y方向修改边界引脚来更新边界间隔配置。
在操作310处,识别单元中的引脚作为边界引脚。在一些实施例中,基于引脚单元内的布局位置来识别作为边界引脚的引脚。在一些实施例中,将引脚识别为边界引脚包括确定该单元的引脚和边界之间的间隔不包括另一引脚。
在一些实施例中,基于引脚相对于单元的单个边界的布局位置来识别作为边界引脚的引脚。在一些实施例中,基于引脚相对于单元的多于一个边界的布局位置来识别作为边界引脚的引脚。
在一些实施例中,例如,方法300用作方法500的操作520或540的实施例(下面相对于图5和图6进行讨论)中,基于引脚相对于相邻单元中的目标引脚的布局位置来识别作为边界引脚的引脚。
在一些实施例中,基于与相邻单元中的目标引脚对准的引脚,识别作为边界引脚的引脚。在一些实施例中,基于引脚与相邻单元中的目标引脚之间的间隔在最小间隔规则内来识别作为边界引脚的引脚。
在一些实施例中,基于接收到的用于该引脚的标识符(例如迭代计数器)识别作为边界引脚的引脚。
在一些实施例中,例如,方法300用作方法100的操作115的实施例(上面相对于图1至图2J进行讨论),基于顺序地识别单元中的多个引脚中的每个引脚作为潜在的边界引脚的迭代工艺来识别作为边界引脚的引脚。
在一些实施例中,识别作为边界引脚的引脚包括识别第一单元200A中的引脚PA2,上面相对于图1至图2E进行讨论。在一些实施例中,识别作为边界引脚的引脚包括识别第一单元210A中的引脚PA5,上面相对于图1和图2F至图2J进行讨论。
图4A示出包括七个引脚4P1至4P7和边界400B的单元400的非限制性实例。引脚4P1和4P2沿着X方向在最顶部行上对准,引脚4P3至4P5沿着X方向在中间行上对准,引脚4P6和4P7沿着X方向在最底部行上对准。
在图4A所示的实施例中,基于引脚4P2、4P5和4P7的每个与边界400B之间沿着X方向不存在其他引脚,引脚4P2、4P5和4P7中的每个都是相对于边界400B的潜在边界引脚。引脚4P2相对于边界400B具有沿着X方向的边界间隔4S1,引脚4P5相对于边界400B具有沿着X方向的边界间隔4S2,并且引脚4P7相对于边界400B具有沿着X方向的边界间隔4S3。
在一些实施例中,使用额外的标准来识别作为潜在边界引脚的引脚。在一些实施例中,基于除了另一引脚之外且位于引脚与边界之间的部件(例如,栅极、有源区或鳍结构),识别作为潜在边界引脚的引脚。在一些实施例中,基于位于引脚和边界之间的间隔的预定距离内的部件(例如,相邻行中的引脚),识别作为潜在边界引脚的引脚。
在操作320处,确定是否能够增加边界引脚的边界间隔。在一些实施例中,基于边界间隔的增加量的阈值来确定是否能够增加边界间隔。
在一些实施例中,阈值是基于边界间隔的初始值。在一些实施例中,阈值是基于预定的最小边界间隔值。在一些实施例中,阈值是基于引脚在相邻单元中的预计(projected)引脚延伸件。在一些实施例中,阈值是基于用于引脚所在导电层的最小边缘-边缘间隔规则。
在一些实施例中,确定是否能够增加边界间隔不包括阈值,并且边界间隔的任何增加足以推断出边界间隔能够增加。
在一些实施例中,确定是否能够增加边界间隔包括确定是否能够缩短边界引脚。在一些实施例中,确定是否能够增加边界间隔包括确定是否能够从边界偏移边界引脚。
在一些实施例中,确定是否能够增加边界间隔包括确定是否能够缩短与边界引脚对准的引脚。在一些实施例中,确定是否能够增加边界间隔包括确定与边界引脚对准的引脚是否能够从边界偏移。
在一些实施例中,确定是否能够增加边界间隔包括确定是否能够修改除了另一引脚之外的单元部件(例如,栅极、有源区或鳍结构)。在一些实施例中,确定是否能够增加边界间隔包括确定除了另一引脚之外的单元部件(例如,栅极、有源区或鳍结构)或与边界引脚对准的另一引脚是否能够从边界引脚或与边界引脚对准的另一引脚处偏移。
在一些实施例中,确定是否能够增加边界间隔包括上述标准的组合。在一些实施例中,确定是否能够增加边界间隔是基于单元的一个或多个布局规则。在一些实施例中,确定是否能够增加边界间隔是基于IC布局生成系统800的布局规则820,下面相对于图8进行讨论。
在操作330处,基于确定能够增加边界引脚的边界间隔,通过增加边界引脚的边界间隔来修改单元。在一些实施例中,修改单元包括增加单个边界引脚的单个边界间隔。在一些实施例中,修改单元包括增加单个边界引脚的多于一个边界间隔。在一些实施例中,修改单元包括增加多个边界引脚中的每个边界引脚的一个或多个边界间隔。
在一些实施例中,修改单元包括将边界引脚的边界间隔增加等于阈值的量。在一些实施例中,修改单元包括将边界引脚的边界间隔增加大于阈值的量。在一些实施例中,修改单元包括将边界引脚的边界间隔增加最大量,该最大量基于一个或多个布局规则(例如,IC布局生成系统800的布局规则820,下面将相对于图8进行讨论)。
在一些实施例中,增加边界引脚的边界间隔包括缩短边界引脚和使边界引脚远离边界偏移中的一个或两个。在一些实施例中,增加边界引脚的边界间隔包括缩短与边界引脚对准的另一引脚和使其他引脚远离边界偏移中的一个或两个。
在一些实施例中,增加边界引脚的间隔包括修改或偏移除了另一引脚之外的单元部件(例如,栅极、有源区或鳍结构)。在一些实施例中,增加边界引脚的间隔包括上述实施例的组合。在一些实施例中,增加边界引脚的间隔包括优先考虑(prioritizing)上述实施例。
图4B示出非限制性实例,其中,基于确定能够增加边界间隔4S1和4S3(图4A所述)而不能增加边界间隔4S2来修改单元400。
基于引脚4P2最初沿着X方向与引脚4P1分离距离D超过引脚4P1和4P2所在导电层的最小间隔规则,确定能够通过沿着X方向远离边界400B偏移引脚4P2来增加边界间隔4S1。基于确定能够增加边界间隔4S1,修改单元400,从而使得引脚4P2相对于边界400B沿着X方向具有比边界间隔4S1更大的边界间隔4S4。
在一些实施例中,沿着X方向远离边界400B偏移引脚4P2,从而使得边界间隔4S4的值等于基于引脚在相邻单元(未示出)中的预计引脚延伸件的阈值,因此距离D继续具有超过最小间隔规则的值。在一些实施例中,沿着X方向远离边界400B偏移引脚4P2,从而使得距离D的值等于最小间距规则,因此边界间隔4S4具有大于阈值的值。
基于引脚4P3至4P5沿着X方向的宽度(未标记)以及引脚4P3至4P5之间沿着X方向的距离(未标记)来确定不能够增加边界间隔4S2。在一些实施例中,基于具有等于最小宽度规则的值的引脚4P3至4P5的宽度以及等于最小间隔规则的引脚4P3至4P5之间的间隔,确定不能增加边界间隔4S2。在一些实施例中,基于引脚4P3至4P5的宽度与最小宽度规则之间的总体差异加上引脚4P3至4P5间隔与最小间隔规则之间的总体差异小于阈值,确定不能增加边界间隔4S2。
基于引脚4P7最初具有大于最小宽度规则的宽度W,确定能过通过沿着X方向缩短引脚4P7以便使最靠近边界400B的边缘远离边界400B移动来增加边界间隔4S3。基于确定能够增加边界间隔4S3,修改单元400,从而使得引脚4P7沿着X方向相对于边界400B具有大于边界间隔4S5的边界间隔4S5。
在一些实施例中,沿着X方向从边界400B处缩短引脚4P7,从而使得边界间隔4S5具有等于阈值的值,其中,阈值是基于引脚在相邻单元(未示出)中的预计引脚延伸件,由此,宽度W继续具有超过最小宽度规则的值。在一些实施例中,沿着X方向远离边界400B缩短引脚4P7,从而使得宽度W具有等于最小宽度规则的值,因此边界间隔4S5具有大于阈值的值。
在一些实施例中,基于确定能够增加边界引脚的边界间隔,修改单元包括添加或修改该单元的与该修改相对应的状态指示符。
在一些实施例中,基于确定不能够增加边界引脚的边界间隔,通过添加或修改与该确定相对应的单元的状态指示符来修改该单元。
在一些实施例中,基于确定不能够增加边界引脚的边界间隔,方法300返回至操作310并且将另一引脚识别为边界引脚。在一些实施例中,无论边界引脚的边界间隔是否确定为能够增加,方法300返回至操作310并且将另一引脚识别为边界引脚。
在一些实施例中,方法300返回至操作310并且将另一引脚识别为单元中的每个引脚的边界引脚。在一些实施例中,方法300返回至操作310并且将另一引脚识别为单元的引脚子组中的每个引脚的边界引脚。在一些实施例中,方法300返回至操作310,并且将另一引脚识别为用于单元中或单元的引脚子组中的每个引脚的边界引脚,直到确定能够增加与至少一个引脚相对应的边界间隔。
在可选操作340处,将单元保存至存储器件。在一些实施例中,将单元保存至单元库。
在一些实施例中,以通过增加该单元中至少一个引脚的边界间隔来修改单元为条件,保存单元。在一些实施例中,无论是否通过增加单元中至少一个引脚的边界间隔修改单元,存储单元。
通过执行方法300的操作以更新单元的边界间隔配置,包括更新的单元的IC布局能够使相邻单元修改为具有延伸到单元中的引脚,从而增加半导体结构的整体布线灵活性,如上面相对于方法100所讨论的。
图5是根据一些实施例的确定目标引脚可延伸性的方法500的流程图。方法500可用作方法100的操作135,上文相对于图1至图2J进行讨论。
方法500的操作能够作为形成半导体结构的方法的部分来实施。在一些实施例中,形成半导体结构是形成一个或多个半导体器件的部分,其非限制性实例包括存储器电路、逻辑器件、处理器件、信号处理电路等。
在一些实施例中,方法500的一些或全部操作能够作为APR方法的部分来实施。在一些实施例中,方法500的一些或全部操作能够通过APR系统来实施。
在一些实施例中,以图5所示的顺序实施方法500的操作。在一些实施例中,可以以除了图5所示的顺序之外的顺序实施方法500的操作。在一些实施例中,在实施方法500的一个或多个操作之前、之间、期间和/或之后实施一个或多个操作。
在一些实施例中,通过计算机的处理器执行方法500的一些或全部。在一些实施例中,由IC布局生成系统800的处理器802执行方法500的一些或全部,下面相对于图8进行讨论
图6示出在一些实施例中的IC布局的非限制性实例,其中,通过执行方法500的一个或多个操作来做出目标引脚是否能够延伸到相邻单元中的确定。为了清楚,简化IC布局600。在各个实施例中,IC布局600包括除了图6中描述的部件之外的部件(例如,一个或多个晶体管元件、电源导轨、隔离结构等)。图6还示出了方向X和Y。
在图6所示的非限制性实例中,基于沿着X方向延伸的目标引脚确定目标引脚是否能够延伸到相邻单元中。在一些实施中,基于沿着Y方向延伸的目标引脚确定目标引脚是否能够延伸到相邻单元中。
在可选操作510处,在一些实施例中,接收第一单元、第二单元、第二单元中的目标引脚以及金属引线布线轨迹的位置数据。位置数据包括由第一单元和第二单元共享边界的位置以及最靠近共享边界的金属引线布线轨迹的位置。
在一些实施例中,接收位置数据包括接收用于第一单元200A、第二单元200B和轨迹T5的位置数据,上面相对于图1至图2E进行讨论。在一些实施例中,接收位置数据包括接收用于第一单元210A、第二单元210B和轨迹T15的位置数据,上面相对于图1和图2F至图2J进行讨论。
在一些实施例中,第一单元和第二单元对应于IC布局生成系统800的一个或多个布局822,下面相对于图8进行讨论。在一些实施例中,接收位置数据包括接收IC布局生成系统800的位置数据824,下面相对于图8进行讨论。
图6示出IC布局600的非限制性实例,其中,IC布局600包括包含引脚6PA1的第一单元600A、包含引脚6PB1的第二单元600B、共享边界600SB和轨迹TRK。引脚6PB1的延伸件6PB1EXT延伸到第一单元600A中,并且通孔V6在延伸件6PB1EXT上。位置X1至X6示出沿着X方向的位置。
引脚6PA1在位置X1处具有最右边缘,并且延伸件6PB1EXT在位置X2处具有最左边缘。延伸件6PB1EXT在位置X6处具有最右边缘,并且引脚6PB1在位置X6处具有最左边缘。
轨迹TRK和通孔V6位于位置X4处,并且共享边界600SB位于位置X6处。在图6所示的实施例中,将位置X4偏移至位置X6的左侧。在一些实施例中,将位置X4偏移至位置X6的右侧。在一些实施例中,位置X4和X6是相同的位置。
通孔V6在位置X3处具有最左边缘并且在位置X5处具有最右边缘。位置X2和X3之间的距离是通孔外围(enclosure)间隔。在一些实施例中,通孔外围间隔是基于用于引脚6PA1所在导电层的最小通孔外围间隔规则。
在图6所示的实施例中,位置X3和X5中的每个偏移至位置X6的左侧。在一些实施例中,位置X4从位置X6偏移,从而使得位置X3偏移至位置X6的左侧,并且位置X5偏移至位置X6的右侧。在一些实施例中,位置X4从位置X6偏移,从而使得位置X3和X5中的每个偏移至位置X6的右侧。在一些实施例中,位置X4从位置X6偏移,从而使得位置X3和X5中的一个与位置X6的位置相同。
在可选操作520处,在一些实施例中,更新第一单元的边界间隔配置。在一些实施例中,更新第一单元的边界间隔配置包括增加第一单元中的引脚和第一单元的边界之间的间隔。在一些实施例中,更新第一单元的边界间隔配置包括实施方法300的一个或多个操作,上面相对于图3进行讨论。
在一些实施例中,更新第一单元的边界间隔配置包括增加图6中所述的位置X1和X6之间的间隔。
在操作530处,基于位置数据和IC布局的布局规则来计算目标引脚延伸件的长度。计算目标引脚延伸件的长度包括计算目标引脚延伸到第一单元中从而使得电连接件能够形成在最接近共享边界的轨迹的位置处的所需的最小距离。在一些实施例中,基于通孔尺寸、端至端间隔规则和通孔外围间隔规则中的一个或多个来计算目标引脚延伸件的长度。
在一些实施例中,基于IC布局生成系统800的布局规则820、一个或多个布局822或位置数据824中的一个或多个来计算目标引脚延伸件的长度,下面相对于图8进行讨论。
在图6所示的实施例中,延伸件6PB1EXT的长度等于位置X2和X6之间的距离。因此,延伸件长度是从位置X2至位置X3的通孔外围间隔、从位置X3延伸至X5的通孔V6宽度以及基于通孔V6的宽度位置X5和X6之间的距离和位置X4相对于位置X6偏移的总和。
在位置X5偏移至位置X6的右侧或与位置X6相同的一些实施例中,延伸件长度不包括位置X5和X6之间的距离。在位置X3偏移至位置X6的左侧并且位置X5偏移至位置X6的右侧的一些实施例中,延伸件长度包括通孔V6的宽度的从位置X3延伸至位置X6的部分。该部分的尺寸是基于通孔V6的宽度以及位置X4和X6之间偏移的尺寸和方向。
在位置X3与位置X6相同的一些实施例中,延伸件长度等于从位置X2至位置X3的通孔外围间隔。在位置X3偏移至位置X6的右侧的一些实施例中,延伸件长度等于通孔外围间隔的从位置X2到位置X6的部分。该部分的尺寸是基于通孔外围间距、通孔的宽度以及位置X4和X6之间偏移的尺寸和方向。
在一些实施例中,位置X4和X6之间的偏移的尺寸小于或等于基于通孔外围间隔(即从位置X2至位置X3的距离)加上通孔V6的宽度的一半(即从位置X4至位置X3和X5中任一个的距离)的预定距离。在一些实施例中,位置X4和X6之间偏移的尺寸小于或等于预定距离,该预定距离等于通孔外围间隔与通孔V6的宽度的一半相加的和。在一些实施例中,位置X4和X6之间偏移的尺寸小于或等于预定距离,该预定距离小于通孔外围间隔与通孔V6的宽度的一半相加的和。
在一些实施例中,位置X4和X6之间偏移的尺寸大于预定距离表示定位在位置X4处的轨迹TRK距离位置X6处的共享边界600SB足够远,以便通孔V6能够在引脚6PA1上,从而使得不需要6PB1EXT。在一些实施例中,位置X4和X6之间偏移的尺寸大于预定距离表示定位在位置X4处的轨迹TRK距离位置X6处的共享边界600SB足够远,以便通孔V6能够在引脚6PB1上,从而使得不需要6PB1EXT。
在可选操作540处,在一些实施例中,更新第一单元的边界间隔配置。在一些实施例中,更新第一单元的边界间隔配置包括增加第一单元中的引脚和第一单元的边界之间的间隔。在一些实施例中,基于目标引脚在第二单元中的位置来更新第一单元的边界间隔配置。
在一些实施例中,更新第一单元的边界间隔配置包括实施方法300的一个或多个操作,上面相对于图3进行讨论。
在一些实施例中,更新第一单元的边界间隔配置包括增加图6所述的位置X1和X6之间的间隔。
在操作550处,将目标引脚延伸件与第一单元的识别出的部件之间的间隙的长度与最小间隔规则进行比较。在一些实施例中,将间隙与最小间隔规则进行比较包括将目标引脚延伸件与第一单元中的识别出的的边界引脚之间的间隙进行比较。
在一些实施例中,将间隙与最小间隔规则进行比较包括将目标引脚延伸件与第一单元中沿着X方向与目标引脚延伸件对准的部件(例如,引脚)进行比较。在一些实施例中,将间隙与最小间隔规则进行比较包括将目标引脚延伸件与第一单元中沿着Y方向与目标引脚延伸件对准的部件(例如,引脚)进行比较。
在一些实施例中,间隙是基于第一单元中的多个部件的多个间隙中的一个间隙,并且将间隙与最小间隔规则进行比较包括将多个间隙中的每个间隙与一个或多个最小间隔规则进行比较。在一些实施例中,将间隙与最小间隔规则进行比较包括将目标引脚位置与除了最小间隔规则之外的规则(例如,基于布局中的限定区域的规则)进行比较。
在图6所示的实施例中,延伸件6PB1EXT和引脚6PA1之间的间隙沿X方向的长度等于位置X1与X2之间的距离。将间隙与最小间隔规则进行比较包括将间隙与引脚6PA1和6PB1所在导电层的最小端至端间隔规则进行比较。
在操作560处,基于间隙与最小间隔规则的比较,确定目标引脚是否能够延伸到第一单元中。如果间隙大于或等于最小间距规则,则确定目标引脚能够延伸到第一单元中。如果间隙小于最小间隔规则,则确定目标引脚不能够延伸到第一单元中。
在图6所示的实施例中,如果位置X1和X2之间的距离大于或等于引脚6PA1和6PB1所在导电层的最小端至端间隔规则,则确定引脚6PB1能够延伸到单元600A中。如果位置X1和X2之间的距离小于引脚6PA1和6PB1所在导电层的最小端至端间隔规则,则确定引脚6PB1不能延伸到单元600A中。
通过执行方法500的操作以确定目标引脚在单元中的可延伸性,与电连接至不包括延伸的目标引脚的单元的布线相比,包括目标引脚的IC布局有利于电连接至单元的布线,由此增加如上关相对于方法100所述的半导体结构的整体布线灵活性。
图7A和图7B是根据一些实施例的半导体结构700的图。通过执行方法100、300和500的一些或全部操作来形成半导体结构700,并且根据IC布局200和600以及单元400来配置半导体结构700,上面相对于图1至图6进行讨论。
为了清楚,简化图7A和图7B中的半导体结构700的描述。图7A和图7B示出半导体结构700的平面图,其中,包括和排除了各种部件以便于下面的讨论。在各个实施例中,半导体结构700包括一个或多个栅极结构或其他晶体管元件、阱、隔离结构等。图7A和图7B还示出方向X和Y。半导体结构700包括第一器件区700A、第二器件区700B、共享边界700SB、第一电源导轨700P1和第二电源导轨700P2。在一些实施例中,半导体结构700不包括第一电源导轨700P1和第二电源导轨700P2中的一个或两个。
第一器件区700A包括第一有源区710A和720A以及第一栅极结构730A和740A。第一有源区710A和720A以及第一栅极结构730A和740A完全包括在第一器件区700A内并且配置为能够实施一个或多个逻辑功能的一个或多个逻辑器件。
第一器件区700A对应于布局单元(例如,单元200A、400或600A),以上相对于图2A至图2E、图4A、图4B和图6进行了讨论。
第二器件区700B包括第二有源区710B和720B以及第二栅极结构730B和740B。第二有源区710B和720B以及第二栅极结构730B和740B完全包括在第二器件区700B内并且配置为能够实施一个或多个逻辑功能的一个或多个逻辑器件。
第二器件区700B对应于布局单元(例如,单元200B或600B),上面相对于图2A至图2E和图6进行了讨论。
共享边界700SB将第一器件区700A与第二器件区700B分离。由于第一器件区700A包括整个第一有源区710A和720A,并且第二器件区700B包括整个第二有源区710B和720B,所以共享边界700SB不与第一有源区710A和720A以及第二有源区710B和720B中的每个相交。
在图7A和图7B所示的实施例中,共享边界700SB是在Y方向上定向的直线。在一些实施例中,共享边界700SB是在X方向上定向的直线。
半导体结构700还包括第一金属零层引脚P1和第二金属零层引脚P2。在一些实施例中,半导体结构700不包括第二金属零层引脚P2。
在一些实施例中,第一金属零层引脚P1和第二金属零层引脚P2中的一个或两个包括氧化物层上方的金属零层。在一些实施例中,第一金属零层引脚P1和第二金属零层引脚P2中的一个或两个包括多晶硅层上方的金属零层。在一些实施例中,第一金属零层引脚P1和第二金属零层引脚P2中的一个或两个包括氧化物层上方的金属零层和多晶硅层上方的金属零层两者。
第一金属零层引脚P1部分地位于第一器件区700A内,部分位于第二器件区700B内,并且延伸跨过共享边界700SB。在图7A所示的实施例中,第一金属零层引脚P1配置为电连接至第二有源区710B。在各个实施例中,第一金属零层引脚P1配置为电连接至不同于或除了有源区710B之外的第二器件区700B中的一个或多个其他部件。
在图7A和图7B所示的实施例中,第一金属零层引脚P1具有矩形形状并且沿着X方向定向。在各个实施例中,第一金属零层引脚P1具有沿着X方向定向的除了矩形之外的形状并且沿着X方向延伸以跨过共享边界700SB。
在一些实施例中,第二金属零层引脚P2完全地定位在第一器件区700A内。在图7A所示的实施例中,第二金属零层引脚P2配置为电连接至第一有源区710A。在各个实施例中,第二金属零层引脚P2配置为电连接至不同于或除了有源区710A之外的第一器件区700A中的一个或多个其他部件。
在图7A和图7B所示的实施例中,第二金属零层引脚P2具有矩形形状并且沿着X方向定向。在各个实施例中,第二金属零层引脚P2具有除了矩形以外的形状并且完全定位在第一器件区700A内。
在图7A和图7B所示的实施例中,第一金属零层引脚P1对应于引脚6PB1和延伸件6PB1EXT,并且第二金属零层引脚P2对应于引脚6PA1,上面相对于IC布局600和图6进行了讨论。因此,第一金属零层引脚P1和第二金属零层引脚P2配置为具有与符合布局600的对准和间隔。因此,通过等于或大于金属零层最小间隔规则的距离(未标记)分离第一金属零层引脚P1和第二金属零层引脚P2。
通孔V1接触第一金属零层引脚P1并且沿着X方向在位置XV1处居中。通过距离DV1分离位置XV1和共享边界700B。
距离DV1对应于位置X4和X6之间的偏移,上面相对于IC布局600和图6进行讨论。距离DV1的值小于或等于基于金属零层最小通孔外围长度的预定距离。在一些实施例中,预定距离等于通孔V1的宽度的一半加上金属零层最小通孔外围长度。在一些实施例中,预定距离小于通孔V1的宽度的一半加上金属零层最小通孔外围长度。
金属引线MW1在通孔V1上且在位置XV1处居中,并且电连接至通孔V1。在一些实施例中,金属引线MW1是金属互连件的第一金属层在半导体结构700内的部分。在一些实施例中,金属引线MW1是金属互连件中除了金属1(M1)层之外的金属层在半导体结构700内的部分。
在图7B所示的实施例中,金属引线MW1具有矩形形状并且沿着Y方向定向。在一些实施例中,金属引线MW1具有沿着Y方向定向的不同于矩形的形状并且沿着Y方向延伸,以便能够形成至一个或多个上面的导电元件的电连接。
在图7B所示的实施例中,通孔V1和金属引线MW1中的每个都在第一器件区700A、第二器件区700B和共享边界700SB上方。在一些实施例中,通孔V1和金属引线MW1中的每个均在第一器件区700A和第二器件区700B中的仅一个上,并且不在共享边界SB上。在一些实施例中,通孔V1和金属引线MW1中的每个都在第一器件区700A和第二器件区700B中的仅一个上,并且与共享边界SB对准。
在图7B所示的实施例中,半导体结构700包括沿着X方向在相应位置XV2至XV5处居中的通孔V2至V5和金属引线MW2至MW5。金属引线MW2至MW5中的每个电连接至对应的通孔V2至V5。
位置XV1至XV5对应于用于放置通孔和金属1层引线的轨迹,例如,IC布局200的轨迹T1至T10,上面相对于图2A至图2E进行讨论。因此,位置XV1至XV5限定与金属1层最小间隔规则相对应的金属引线MW1至MW5的间距。
在图7B所示的实施例中,半导体结构700包括通孔V1至V5、金属引线MW1至MW5和位置XV1至XV5中的每个。在一些实施例中,半导体结构700包括比五个通孔V1至V5少的通孔、比五个金属引线MW1至MW5少的金属引线和比五个位置XV1至XV5少的位置。在一些实施例中,半导体结构700包括比五个通孔V1至V5多的通孔、比五个金属引线MW1至MW5多的金属引线和比五个位置XV1至XV5多的位置。
在图7A和图7B所示的实施例中,半导体结构700是基于IC布局200,其中,共享边界沿着Y方向延伸。在一些实施例中,半导体结构是基于IC布局210,其中,共享边界沿着X方向延伸。
通过执行方法100、300和500的一些或全部操作来制造,并且根据IC布局200或210、IC布局600和单元400来配置(上面相对于关于图1至图6进行讨论)半导体结构700,从而使得能够实现上面相对于方法100、300和500以及图1至图6讨论的优势。
图8是根据一些实施例的IC布局生成系统800的示意图。在一些实施例中,IC布局生成系统800可用作IC制造系统900的设计室920的部分,下面相对于图9进行讨论。在一些实施例中,IC布局生成系统800能够实施方法100的一些或全部操作(上面相对于图1进行讨论),实施方法300的一些或全部操作(上面相对于图3进行讨论),和/或实施方法500的一些或全部操作(上面相对于图5进行讨论)。
IC布局生成系统800包括硬件处理器802和非暂时性计算机可读存储介质804,非暂时性计算机可读存储介质604编码有(即,存储)计算机程序代码806(即,可执行指令集)。指令806包括用于生成IC制造系统的IC布局的指令。处理器802通过总线808与计算机可读存储介质804电连接。处理器802也通过总线808与I/O接口810电连接。网络接口812也通过总线808电连接至处理器802。网络接口812连接至网络814,从而使得处理器802和计算机可读存储介质804能够通过网络814连接至外部元件。处理器802配置为执行编码在计算机可读存储介质804中的计算机程序指令806,以使得IC布局生成系统800可用于实施方法100、300和方法500中描述的部分或全部的操作。
在一些实施例中,处理器802是中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)和/或合适的处理单元。
在一些实施例中,计算机可读存储介质804是电子的、磁性的、光学的、电磁的、红外的和/或用于以非暂时性的方式存储指令和/或数据的半导体系统(或装置或器件)。例如,计算机可读存储介质804包括半导体或固相存储器、磁带、移动计算机软盘、随机存取存储器(RAM)、只读存储器(ROM)、硬磁盘和/或光盘。在使用光盘的一些实施例中,计算机可读存储介质804包括只读光盘存储器(CD-ROM)、读/写光盘(CD-R/W)和/或数字视频光盘(DVD)。
在一些实施例中,计算机可读存储介质804存储配置为使得IC布局生成系统800实施方法100、300和500的部分和全部的计算机程序指令806。在一些实施例中,计算机可读存储介质804还存储用于实施方法100、300和/或500所需的信息以及在实施方法100、300和/或500期间生成的信息(诸如一个或多个布局规则820、一个或多个布局822、位置数据824和/或指令806)以实施方法100、300和500的一个或多个操作。
I/O接口810与外部电路连接。在一些实施例中,I/O接口810包括键盘、小型键盘、鼠标、轨迹球、触控板和/或向处理器802传达信息和/或命令的光标方向键。在一些实施例中,I/O接口810包括用于传送来自处理器802的信息的显示器、信号灯和/或音频设备。
网络接口812允许IC布局生成系统800与一个或多个其他计算机系统连接到的网络814通信。网络接口812包括诸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA的无线网络接口;或诸如ETHERNET、USB或IEEE-1394的有线网络接口。在一些实施例中,在两个或多个IC布局生成系统800中实施方法100、300或500中的一个或多个,并且在不同的系统800之间通过网络814交换诸如一个或多个布局规则820、一个或多个布局822或位置数据824的信息。
IC布局生成系统800配置为接收与生成IC布局有关的信息。该信息通过总线808传送至处理器802,然后作为一个或多个布局规则820、一个或多个布局822、位置数据824或指令806存储在计算机可读存储介质804中。在一些实施例中,在操作105(图1)和/或方法300(图3)和/或方法500(图5)中访问一个或多个布局规则820。在一些实施例中,在操作110和120(图1)和/或方法300(图3)和/或方法500(图5)中访问一个或多个布局822。在一些实施例中,在操作125至155(图1)和/或操作510(图5)的一个或多个中访问位置数据824。
通过配置为执行方法100、300和500的部分或全部,IC布局生成系统800使得能够实现上面相对于方法100、300和500以及图1至图6讨论的优势。
图9是根据一些实施例的IC制造系统900及其相关的IC制造流程的框图。
通常,系统900生成布局(例如,上面相对于图1至图2E讨论的IC布局200、上面相对于图1和图2F至图2J讨论的布局210、上面相对于图5和图6讨论的布局600或上面相对于图3至图4B讨论的单元400等)。基于布局,系统900制造(A)一个或多个半导体掩模或(B)初始半导体集成电路的层中的至少一个组件中的至少一个。
在图9中,IC制造系统900包括在设计、开发和制造周期中彼此相互作用的实体(诸如设计室920、掩模室930和IC制造商/制造者(“fab”)950),和/或与制造IC器件960有关的服务。通过通信网络连接系统900中的实体。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是诸如内联网和因特网的各种不同的网络。通信网络包括有线通信信道和/或无线通信信道。每个实体与一个或多个其他实体相互作用并向一个或多个其他实体提供服务和/或从一个或多个其他实体接收服务。在一些实施例中,设计室920、掩模室930和IC制造商950中的两个或多个由单个较大公司拥有。在一些实施例中,设计室920、掩模室930和IC制造商950中的两个或多个共存于共同设施中并且使用公共资源。
设计室(或设计团队)920生成IC设计布局922。IC设计布局922包括为IC器件960设计的各种几何图案。几何图案对应于构成要制造的IC器件960的各个组件的金属、氧化物或半导体层的图案。各个层结合以形成各种IC功能。例如,IC设计布局922的部分包括要形成在半导体衬底(诸如硅晶圆)中的各个IC部件(诸如有源区、栅电极、源极和漏极、金属引线或层间互连的通孔和用于接合焊盘的开口),以及设置在半导体衬底上的各个材料层。设计室920实施适当的设计过程以形成IC设计布局922。设计过程包括逻辑设计、物理设计或地点和路线中的一个或多个。IC设计布局922呈现为具有几何图案信息的一个或多个数据文件。例如,可以以GDSII文件格式或DFII文件格式表示IC设计布局922。
掩模室930包括数据准备932和掩模制造944。掩模室930使用IC设计布局922制造用于根据IC设计布局922制造IC器件960的各个层的一个或多个掩模。掩模室930实施掩模数据准备932,其中,将IC设计布局922转换为代表性数据文件(“RDF”)。掩模数据准备932向掩模制造944提供RDF。掩模制造944包括掩模写入器。掩模写入器将RDF转换为诸如掩模(掩模版)或半导体晶圆的衬底上的图像。设计布局由掩模数据准备932操作以符合掩模写入器的特定特性和/或IC制造商950的要求。在图9中,掩模数据准备932和掩模制造944示出为单独的元件。在一些实施例中,掩模数据准备932和掩模制造944可以统称为掩模数据准备。
在一些实施例中,掩模数据准备932包括光学邻近校正(OPC),其使用光刻增强技术来补偿诸如可能由衍射、干涉、其他工艺效应等引起的图像误差的图像误差。OPC调整IC设计布局922。在一些实施例中,掩模数据准备932还包括诸如离轴照明、子分辨率辅助部件、相移掩模、其他合适的技术等或它们的组合的分辨率增强技术(RET)。在一些实施例中,还使用反向光刻技术(ILT),其将OPC视为反向成像问题。
在一些实施例中,掩模数据准备932包括掩模规则检查器(MRC),该掩模规则检查器(MRC)利用一组掩模创建规则检查IC设计布局(在IC设计布局已经经历OPC中的工艺之后),其中,该一组掩模创建规则包括特定的几何形状和/或连接性限制以确保足够的裕度,以解决半导体制造工艺中的变化性等。在一些实施例中,MRC修改IC设计布局以补偿掩模制造944期间的限制,这可以取消通过OPC实施的部分修改以满足掩模创建规则。
在一些实施例中,掩模数据准备932包括光刻处理检查(LPC),其模拟将由IC制造商950实施的处理以制造IC器件960。LPC模拟基于IC设计布局922的该处理以创建诸如IC器件960的模拟制造的器件。LPC模拟中的处理参数可以包括与IC制造周期的各个工艺相关的参数,与用于制造IC的工具相关的参数和/或制造工艺的其他方面。LPC考虑了诸如空间图像对比度、焦深(“DOF”)、掩模误差增强因子(“MEEF”)、其他合适因素等或它们的组合的各种因素。在一些实施例中,在通过LPC创建模拟制造的器件之后,如果模拟器件在形状上不够接近以满足设计规则,则将重复OPC和/或MRC以进一步改进IC设计布局922。
应当理解,为了清楚,已经简化了掩模数据准备932的上述描述。在一些实施例中,数据准备932包括诸如逻辑操作(LOP)的额外的部件以根据制造规则修改IC设计布局。此外,可以以各种不同的顺序执行在数据准备932期间应用于IC设计布局922的处理。
在掩模数据准备932之后和掩模制造944期间,基于修改的IC设计布局制造掩模或掩模组。在一些实施例中,基于修改的IC设计布局,电子束(e束)或多个电子束的机制(mechanism)用于在掩模(光掩模或掩模版)上形成图案。采样各种技术来形成掩模。在一些实施例中,使用二元技术形成掩模。在一些实施例中,掩模图案包括不透明区和透明区。用于曝光已经涂覆在晶圆上的图像敏感材料层(例如光刻胶)的诸如紫外(UV)束的辐射束被不透明区阻挡并透过透明区。在实施例中,二元掩模包括透明衬底(例如,石英玻璃)和涂覆在掩模的不透明区中的不透明材料(例如,铬)。在另一实例中,使用相移技术形成掩模。在相移掩模(PSM)中,形成在掩模上的图案中的各个部件配置为具有合适的相位差以提高分辨率和成像质量。在各个实例中,相移掩模可以是衰减型PSM或交替型PSM。通过掩模制造944产生的掩模用于各个工艺中。例如,这种掩模可以用在离子注入工艺中以在半导体晶圆中形成各种掺杂区,用在蚀刻工艺中以在半导体晶圆中形成各种蚀刻区,和/或在其他合适的工艺中。
IC制造商950是IC制造业务,其包括用于制造各种不同IC产品的一个或多个制造设备。在一些实施例中,IC制造商950是半导体代工厂。例如,可以存在用于多个IC产品的前段制造(前段制程(FEOL)制造)的制造设备,而第二制造设备可以提供用于IC产品的互连和封装的后段制造(后段制程(BEOL)制造)),并且第三个制造设备可能为代工业务提供其他服务。
IC制造商950使用由掩模室930制造的掩模(或多个掩模)来制造IC器件960。因此,IC制造商950至少间接地使用IC设计布局922来制造IC器件960。在一些实施例中,使用掩模(或多个掩模)通过IC制造商950制造半导体晶圆952以形成IC器件960。半导体晶圆952包括具有形成在其上的材料层的硅衬底或其他适当的衬底。半导体晶圆还包括一个或多个各种掺杂区、介电部件、多层互连件等(在后续的制造步骤中形成)。
例如,在于2016年2月9日授权的美国专利No.9,256,709,2015年10月1日发表的美国预授权出版号20150278429,2014年2月6日发表的美国预授权出版号20140040838和2007年8月21日授权的美国专利号7,260,442发现关于集成电路(IC)制造系统(例如,系统900,上面相对于图9进行讨论)以及与其相关联的IC制造流程的细节,其每个的全部内容结合于此作为参考。图10是APR设计中基于时序驱动的布局布线的设计流程图。在设计进入到超深亚微米时,时序对电路的影响非常大,在APR阶段,一定要考虑到时序因素,因此一般的APR都是基于时序驱动的布局布线(Timing-Driven design),即,在APR的各个阶段都以时序因素为第一要素。但是在一些实施例中,功耗等其他因素也是需要考虑的。在实际设计过程中,可以以除了图10所示的顺序之外的顺序来实施方法1000的操作。在一些实施例中,在实施方法1000的一个或多个操作之前、之间、期间和/或之后实施一个或多个操作。
在操作1010中,读入设计信息。设计信息一般包括:设计的网表描述;时序约束,规定了设计的时序要求,也就是后端要达到的时序要求,在APR阶段要用各种方法保证达到时序的要求;APR阶段要用的库文件,主要包括单元(cell)的版图库和单元的时序库。
在操作1020中,设计初始化,即,初始化布局规划(floorplan),定义芯片的几何形状等。
在操作1030中,置放IO焊盘和块(Blocks),Block一般是IP核或RAM、ROM等,IO焊盘和块(blocks)要在单元之前放置。
在操作1040中,布电源线。电源线要在信号线之前布,反之就没有足够的资源布电源线。
在操作1050中,置放网表中的单元。即,将单元放入核心区域中,并且满足拥塞和时序的要求
在操作1060中,调整芯片的管芯尺寸,放置单元后,可能发现chip上的cell太松或太紧,这时可进行调节。
在操作1070中,生成时钟树。芯片中的时钟网络要驱动电路中所有的时序单元,所以时钟源端门单元带载很多,其负载延时很大并且不平衡,需要插入缓冲器减小负载和平衡延时。时钟网络及其上的缓冲器构成了时钟树。
在操作1080中,优化布局,诸如修复剩余的设计规则冲突(designruleviolation)等。
在操作1090中,在整个芯片的大体结构已定后,布信号线。根据电路连接的关系,在满足工艺规则和电学性能的要求下,在指定的区域内完成所需的全部的信号线互连。
在操作1110中,布线后的优化。由于拥塞导致具体的绕线有迂回(detour)以及寄生电容等,需要对布线进一步优化
在操作1120中,分析布线的效果。
在操作1130中,验证所设计的逻辑代码是否符合预期的要求,是否符合原来定义好的规范
在操作1140中,反向标注,即,将提取出的时序信息交给前端仿真。
在仿真之后,完成全部的设计验证。
在一些实施例中,生成IC的布局的方法包括识别IC布局的第一单元中的目标引脚,与第二单元相邻的第一单元和第二单元共享边界,确定目标引脚是否能够延伸到第二单元中,并且基于确定目标引脚能够延伸到第二单元中,将目标引脚修改为包括到第二单元中的延伸件,目标引脚因此跨过共享边界。通过计算机的处理器执行识别、确定和修改中的至少一个。在一些实施例中,修改目标引脚包括延伸金属零导电结构。在一些实施例中,修改目标引脚包括基于用于上面导电结构的轨迹来计算延伸件的长度。在一些实施例中,修改目标引脚包括基于最小通孔外围规则来计算延伸件的长度。在一些实施例中,第二单元包括与目标引脚对准的边界引脚,并且该方法还包括增加边界引脚和共享边界之间的间隔。在一些实施例中,增加间隔包括将金属零层切口施加至边界引脚。在一些实施例中,该方法还包括将信号引线布线至该延伸件。在一些实施例中,第一单元或第二单元包括另一引脚,并且该方法还包括在向共享边界处的另一引脚施加金属零层切口。
在一些实施例中,半导体结构包括第一器件区和第二器件区,其中,第一器件区包括整个第一逻辑器件的第一有源区,其中,第二器件区包括整个第二逻辑器件的第二有源区,其中,第二器件区与第一器件区共享边界。该半导体结构还包括部分地定位在第一器件区内、部分地定位在第二器件区内并且延伸跨过边界的第一金属零层引脚以及接触第一金属零层引脚的通孔,其中,从通孔的中心至边界的距离小于或等于第一预定距离。在一些实施例中,第一预定距离等于通孔的宽度的一半加上金属零层最小通孔外围长度。在一些实施例中,半导体结构还包括与第一金属零层引脚对准的第二金属零层引脚,其中,第二金属零层引脚完全位于第一器件区内或第二器件区内,并且通过比第二预定距离更大的第二距离将第一金属零层引脚与第二金属零层引脚分离。在一些实施例中,半导体结构还包括接触通孔的金属1层引线。在一些实施例中,通孔是多个通孔中的第一通孔,并且金属1层引线是多条金属1层引线中的第一金属1层层引线,其中,每条金属1层引线与对应的通孔对准,多条金属1层引线包括位于第一器件区上方的第二金属1层引线和位于第二器件区上方的第三金属1层引线,第一金属1层引线和第二金属1层引线具有与金属1层最小间隔规则相对应的间距,并且第一金属1层引线和第三金属1层引线具有与金属1层最小间隔规则相对应的间距。在一些实施例中,金属1层引线位于边界上方。
在一些实施例中,IC布局生成系统包括处理器和包括用于一个或多个程序的计算机程序代码的非暂时性计算机可读存储介质。非暂时性计算机可读存储介质和计算机程序代码配置为利用处理器使得系统接收第一单元,其中,第一单元包括第一金属零层结构,接收第二单元,在IC布局中将第一单元放置为与第二单元相邻,第一单元和第二单元由此共享边界,接收金属零层间隔规则。基于金属零层间隔规则,第一金属零层结构延伸跨过边界并进入到第二单元中,并且基于第一单元和第二单元生成半导体结构的布局。在一些实施例中,第二单元中的第二金属零层结构识别为边界引脚,并且减小第二金属零层结构的尺寸。在一些实施例中,基于第二金属零层结构和边界之间的间隔没有额外的金属零层结构,第二单元中的第二金属零层结构识别为边界引脚。在一些实施例中,基于与第一金属零层结构对准的第二金属零层结构来减小第二金属零层结构的尺寸。在一些实施例中,基于金属零层最小间隔规则将第二金属零层结构的尺寸减小一定量。在一些实施例中,基于布局生成掩模组。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种生成集成电路(IC)的布局的方法,所述方法包括:
识别集成电路布局的第一单元中的目标引脚,所述第一单元与第二单元相邻并与所述第二单元共享边界;
确定所述目标引脚是否能够延伸到所述第二单元中;以及
基于确定所述目标引脚能够延伸到所述第二单元中,修改所述目标引脚以包括到所述第二单元中的延伸件,由此所述目标引脚跨过所述共享边界,
其中,通过计算机的处理器执行所述识别、所述确定和所述修改中的至少一个。
2.根据权利要求1所述的方法,其中,修改所述目标引脚包括延伸金属零层导电结构。
3.根据权利要求1所述的方法,其中,修改所述目标引脚包括基于用于上面的导电结构的轨迹来计算所述延伸件的长度。
4.根据权利要求1所述的方法,其中,修改所述目标引脚包括基于最小通孔外围规则计算所述延伸件的长度。
5.根据权利要求1所述的方法,其中,
所述第二单元包括与所述目标引脚对准的边界引脚,以及
所述方法还包括增加所述边界引脚和所述共享边界之间的间隔。
6.根据权利要求5所述的方法,其中,增加所述间隔包括向所述边界引脚施加金属零层切口。
7.一种半导体结构,包括:
第一器件区,所述第一器件区包括整个第一逻辑器件的第一有源区;
第二器件区,所述第二器件区包括整个第二逻辑器件的第二有源区,其中,所述第二器件区与所述第一器件区共享边界;
第一金属零层引脚,部分地位于所述第一器件区内、部分位于所述第二器件区内并且延伸跨过所述边界;以及
通孔,接触所述第一金属零层引脚,其中,从所述通孔的中心至所述边界的距离小于或等于基于金属零层最小通孔外围长度的第一预定距离。
8.根据权利要求7所述的半导体结构,其中,所述第一预定距离等于所述通孔的宽度的一半加上所述金属零层最小通孔外围长度。
9.一种集成电路(IC)布局生成系统,包括:
处理器;以及
非暂时性计算机可读存储介质,包括用于一个或多个程序的计算机程序代码,所述非暂时性计算机可读存储介质和所述计算机程序代码配置为利用所述处理器使得所述系统:
接收第一单元,所述第一单元包括第一金属零层结构;
接收第二单元;
在集成电路布局中,将所述第一单元放置为与所述第二单元相邻,由此所述第一单元和所述第二单元共享边界;
接收金属零层间隔规则;
基于所述金属零层间隔规则,延伸所述第一金属零层结构以跨过所述边界并且延伸到所述第二单元中;和
基于所述第一单元和所述第二单元生成半导体结构的布局。
10.根据权利要求9所述的集成电路布局生成系统,其中,所述非暂时性计算机可读存储介质和所述计算机程序代码配置为利用所述处理器使得所述系统:
识别所述第二单元中的第二金属零层结构作为边界引脚;以及
减小所述第二金属零层结构的尺寸。
CN201810735698.XA 2017-09-28 2018-07-06 集成电路布局方法、结构和系统 Active CN109585371B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565005P 2017-09-28 2017-09-28
US62/565,005 2017-09-28
US15/878,009 2018-01-23
US15/878,009 US10402534B2 (en) 2017-09-28 2018-01-23 Integrated circuit layout methods, structures, and systems

Publications (2)

Publication Number Publication Date
CN109585371A true CN109585371A (zh) 2019-04-05
CN109585371B CN109585371B (zh) 2020-12-29

Family

ID=65809148

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810735698.XA Active CN109585371B (zh) 2017-09-28 2018-07-06 集成电路布局方法、结构和系统

Country Status (4)

Country Link
US (3) US10402534B2 (zh)
KR (1) KR102058224B1 (zh)
CN (1) CN109585371B (zh)
TW (1) TWI656607B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111950224A (zh) * 2019-05-15 2020-11-17 台湾积体电路制造股份有限公司 形成边界单元的方法、集成电路以及集成电路装置
CN113343613A (zh) * 2020-02-18 2021-09-03 美商新思科技有限公司 考虑被不利影响的约束的工程变更命令
CN114077274A (zh) * 2020-08-17 2022-02-22 美商新思科技有限公司 引导式电网增强的系统和方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018124711B4 (de) * 2017-11-21 2024-01-11 Taiwan Semiconductor Manufacturing Co. Ltd. Layout-Verfahren für Standardzellenstrukturen
US10769342B2 (en) * 2018-10-31 2020-09-08 Taiwan Semiconductor Manufacturing Company Ltd. Pin access hybrid cell height design
US10963616B1 (en) * 2019-12-30 2021-03-30 Cadence Design Systems, Inc. Systems and methods of aligning sets of wires with minimum spacing rules
US11764201B2 (en) 2020-04-02 2023-09-19 Samsung Electronics Co., Ltd. Integrated circuit including standard cells
US20220327277A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Routing structure of semiconductor device and forming method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101430724A (zh) * 2007-11-09 2009-05-13 英业达股份有限公司 布线可行性评估方法
US20140229908A1 (en) * 2011-03-03 2014-08-14 Synopsys, Inc. Power Routing in Standard Cells
US20150143309A1 (en) * 2013-11-19 2015-05-21 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6691290B1 (en) 2002-05-02 2004-02-10 Taiwan Semiconductor Manufacturing Company Diagnostic algorithm of second order metal rule for standard library below 0.13 μm
JP4312784B2 (ja) * 2006-10-26 2009-08-12 Necエレクトロニクス株式会社 Esd解析装置、esd解析プログラム、半導体装置の設計方法、半導体装置の製造方法
JP2009021482A (ja) * 2007-07-13 2009-01-29 Nec Electronics Corp 半導体集積回路の自動レイアウト装置及びプログラム
US8266571B2 (en) * 2008-06-10 2012-09-11 Oasis Tooling, Inc. Methods and devices for independent evaluation of cell integrity, changes and origin in chip design for production workflow
CN101661517B (zh) * 2008-08-25 2012-02-15 扬智科技股份有限公司 芯片布局方法
US8677292B2 (en) 2009-04-22 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell-context aware integrated circuit design
US9355205B2 (en) * 2013-12-20 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of a three dimensional integrated circuit
JP6449082B2 (ja) 2014-08-18 2019-01-09 ルネサスエレクトロニクス株式会社 半導体装置
US10242148B2 (en) 2014-08-25 2019-03-26 Mediatek Inc. Integrated circuit and routing design of the same
KR102397391B1 (ko) 2014-10-01 2022-05-12 삼성전자주식회사 집적 회로 및 상기 집적 회로의 레이아웃 설계 방법
KR102349417B1 (ko) 2015-07-16 2022-01-10 삼성전자 주식회사 전압 강하를 개선할 수 있는 구조를 갖는 반도체 장치와 이를 포함하는 장치
KR20180037819A (ko) * 2016-10-05 2018-04-13 삼성전자주식회사 변형 셀을 포함하는 집적 회로 및 그 설계 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101430724A (zh) * 2007-11-09 2009-05-13 英业达股份有限公司 布线可行性评估方法
US20140229908A1 (en) * 2011-03-03 2014-08-14 Synopsys, Inc. Power Routing in Standard Cells
US20150143309A1 (en) * 2013-11-19 2015-05-21 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111950224A (zh) * 2019-05-15 2020-11-17 台湾积体电路制造股份有限公司 形成边界单元的方法、集成电路以及集成电路装置
CN113343613A (zh) * 2020-02-18 2021-09-03 美商新思科技有限公司 考虑被不利影响的约束的工程变更命令
CN114077274A (zh) * 2020-08-17 2022-02-22 美商新思科技有限公司 引导式电网增强的系统和方法

Also Published As

Publication number Publication date
US10776557B2 (en) 2020-09-15
KR20190037072A (ko) 2019-04-05
US10402534B2 (en) 2019-09-03
TW201916259A (zh) 2019-04-16
TWI656607B (zh) 2019-04-11
US20190095573A1 (en) 2019-03-28
US20190171788A1 (en) 2019-06-06
KR102058224B1 (ko) 2019-12-20
US11138362B2 (en) 2021-10-05
US20200410154A1 (en) 2020-12-31
CN109585371B (zh) 2020-12-29

Similar Documents

Publication Publication Date Title
CN109585371A (zh) 集成电路布局方法、结构和系统
US11935833B2 (en) Method of forming power grid structures
US11755812B2 (en) Power structure with power pick-up cell connecting to buried power rail
US20230401373A1 (en) Pin access hybrid cell height design and system
US11675961B2 (en) Engineering change order cell structure having always-on transistor
CN108932360A (zh) 集成电路及其制造方法
US11727183B2 (en) Method of fabricating semiconductor device including standard-cell-adapted power grid arrangement
US11256844B2 (en) Cell row arrangement in regions of integrated circuit layout
KR20200002002A (ko) 집적 회로 구조물, 레이아웃 다이어그램 방법, 및 시스템
US20240096803A1 (en) Diagonal backside power and signal routing for an integrated circuit
US20220043957A1 (en) Method of forming merged pillar structures and method of generating layout diagram of same
US20230222278A1 (en) Method for generating routing structure of semiconductor device
US11967596B2 (en) Power rail and signal conducting line arrangement
US20220328409A1 (en) Targeted power grid structure and method
TWI836866B (zh) 積體電路及其製作方法
US20240070364A1 (en) Circuit cells having power grid stubs
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
US20200226316A1 (en) Cell placement site optimization
TW202343569A (zh) 積體電路及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant