CN101661517B - 芯片布局方法 - Google Patents

芯片布局方法 Download PDF

Info

Publication number
CN101661517B
CN101661517B CN2008102126210A CN200810212621A CN101661517B CN 101661517 B CN101661517 B CN 101661517B CN 2008102126210 A CN2008102126210 A CN 2008102126210A CN 200810212621 A CN200810212621 A CN 200810212621A CN 101661517 B CN101661517 B CN 101661517B
Authority
CN
China
Prior art keywords
focus
unit
coiling
chip
reservation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008102126210A
Other languages
English (en)
Other versions
CN101661517A (zh
Inventor
张仲乔
陈建良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ali Corp
Original Assignee
Ali Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ali Corp filed Critical Ali Corp
Priority to CN2008102126210A priority Critical patent/CN101661517B/zh
Priority to US12/351,213 priority patent/US7926017B2/en
Publication of CN101661517A publication Critical patent/CN101661517A/zh
Application granted granted Critical
Publication of CN101661517B publication Critical patent/CN101661517B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提出一种芯片布局方法。首先对该芯片进行一基层规划。接着进行一全区预留程序,目的在于定义多个预留单元,将其均匀分配于该芯片上。接着进行元件的摆置,藉此元件的分布可依照操作的频率归类为至少一高频区域以及一低频区域。接着进行一频率导向预留程序,将分布在该低频区域的预留单元移动一部分至该高频区域。最后进行一绕线及时序分析程序,以分析元件摆置的结果是否产生热点。如果产生热点,则在热点所在区域重新调整预留单元的分布方式,并重复绕线及时序分析程序。

Description

芯片布局方法
技术领域
本发明有关于集成电路设计,尤其是有关于系统芯片中元件布局的方法。
背景技术
在一颗系统芯片(SOC)中,通常会包含数以万计的基本元件,例如逻辑操作数件集栅(AND gate)、或门(OR gate)、缓存器等。因此在设计系统芯片(SOC)时,会使用电子设计自动化(Electronic Design Automation;EDA)软件进行自动规划。首先进行芯片内的基层规划(FLOOR PLAN),将模拟模块112或存储器模块114规划在某些特定的位置,再将所有元件配置在基底上适当的位置(PLACEMENT),接着再规划绕线的布局(ROUTING)。图1a为现有系统芯片100的布局情形。该系统芯片100的长为H,宽为W,其中特定区域已配置给针脚116,模拟模块112和存储器模块114。元件的摆置方法有三种类型。第一种称为直接配置法。EDA软件可依据元件的工作频率以及联机关系,直接将元件摆置在芯片内元件摆置区域,例如系统芯片100中的R1区域所示(R1区域系指芯片100中除了存储器模块114及模拟模块112以外的其他区域)。第二种称为特定预留法,由用户依据逻辑上的需要,划分一特定区域以预留给一特定的模块。例如系统芯片100中的R2区域。第三种称为不特定预留法。由用户指定某一特定区域面积使用率,但不指定特定的模块。例如系统芯片100中的R3区域。在元件摆置完成后,接着进行绕线及时序分析。分析时会将绕线过于拥挤或是时序无法满足工作频率的区域标记为轻微热点104或严重热点106。相对的,在空隙的区域会留下许多剩余空间102。热点依照成因可分为两种。第一种称为绕线热点,代表局部区域过于拥挤,致使提供绕线的空间不足以配置信号线。第二种称为时序热点,意指该区元件间需要更多的摆置空间以满足工作频率的需求。
热点的产生在所难免,而传统的解决方法是递归地调整芯片面积,直到芯片绕线及工作频率的检查结果完全符合要求。图1b为现有的芯片布局方法流程图。在步骤101中,启动现有的芯片布局方法。在步骤103中,对长为H,宽为W的系统芯片100进行基层规划(Floor Plan)。在步骤105中,利用EDA软件,依照基层规划的结果,将各种标准元件以R1、R2或R3等各种方式摆置在系统芯片100中。在步骤107中,由EDA软件对系统芯片100的元件摆置结果进行绕线程序。在步骤109中,检查绕线程序的结果,是否同时解决了绕线热点以及时序热点的问题。如果绕线热点或时序热点还没解决,则跳至步骤111,将系统芯片100的长H与宽W放大,然后回到步骤103,重新进行新的基层规划。如果绕线热点及时序热点皆被解决,则跳至步骤113,结束本芯片布局方法。
在现实中,芯片面积是一项有限的资源,以放大方式来解决热点相当消耗成本。另一方面来说,增加芯片面积虽然能解决局部的热点问题,却降低了其他区域的面积使用率。这种方法不但增加了制作成本,也降低了芯片的竞争力。有鉴于此,一种改良的芯片布局方法是有待开发的。
发明内容
本发明提出一种芯片布局方法,可提高一芯片空间资源的使用率。首先对该芯片进行一基层规划。接着进行一全区预留程序,目的在于定义多个预留单元,将其均匀分配于该芯片上。接着进行元件的摆置,元件的分布可依照操作的频率归类为至少一高频区域以及一低频区域。接着进行一频率导向预留程序,将分布在该低频区域的预留单元移动一部分至该高频区域。接着进行一局部区域元件重新摆置,并完成绕线及时序分析程序,分析元件摆置的结果是否产生热点。如果产生热点,则于热点所在区域重新调整预留单元的分布方式,并重新进行局部区域元件重新摆置,以及绕线及时序分析程序。
在进行全区预留程序时,首先将元件的总面积乘上一预留比率,得到一预留总面积。接着提供具有一固定列高的多个预留单元,使所有预留单元的总面积等于该预留总面积,其中该固定列高与元件列高相等。最后均匀摆置所述的预留单元于该芯片上,并使预留单元的列高与摆置元件列高切齐。在一较佳实施例中,该预留比率可以是在2%到4%之间,例如3%。
在另一实施例中,该低频区域的定义是,在工作频率范围的一百分比例分布中,工作频率最低的前PL%的元件所涵盖的区域。同样地,该高频区域是工作频率最的高前PH%的元件所涵盖的区域。在进行频率导向预留程序时,首先计算该低频区域中的预留单元总面积,以及该高频区域中的预留单元总面积。接着依据下列不等式,将分布在该低频区域的预留单元移动一部分至该高频区域:
min(LRS,HRS)*r%<HS
其中LRS为该低频区域中的预留单元总面积,HRS为该高频区域中的预留单元总面积,HS为高频区域中除了HRS之外的剩余空间资源面积,r为一自定义参数。
另一方面,该绕线及时序分析程序包含分析绕线的资源是否足够使用。绕线资源不足的区域即判定为一绕线热点。在绕线热点所在区域重新调整预留单元的分布方式时,则从绕线资源足够的区域挪用一或多个预留单元至该绕线热点。
进一步地说,从绕线资源足够的区域挪用一或多个预留单元至该绕线热点的步骤是根据下式:
Pin ( BBOX ) Area ( BBOX ) + ( A RSi &times; q % ) < R routable
其中BBOX是指包围该绕线热点的最小矩形,Pin(BBOX)是指该矩形内所包围的针脚总个数,Area(BBOX)是指该矩形的面积,ARSi是指该绕线热点中预留单元的总面积,q为挪用比例,而Rroutable是可绕线的最大针脚密度。
在绕线及时序分析程序中,分析每一数据传输路径其所须的时间是否符合操作频率或设计规则的要求。不符合的区域即判定为一时序热点。在时序热点所在区域重新调整预留单元的分布方式时,则将该时序热点中的预留单元释放出来成为可供元件摆置的空间资源,接着从低频区域中挪用一或多个预留单元至该时序热点。
于热点所在区域重新调整预留单元的分布方式之后,进一步重新摆置热点所在区域对应的元件,才接着再重新进行该绕线及时序分析程序。
在另一实施例中,在热点所在区域重新调整预留单元的分布方式之后,可将一或多个预留单元释放出来成为可用空间,用以摆置稳压元件。
附图说明
图1a为现有芯片100的布局情形;
图1b为现有的芯片布局方法流程图;
图2为本发明的芯片布局方法流程图;
图3a为本发明实施例的一系统芯片300;
图3b为第3a图中的区域310的实施例;
图4a为频率导向的空间预留实施例;
图4b及图4c为工作频率百分等级的分布图;
图5为图2中步骤219的进一步实施例;
图6a为解除绕线热点的实施例;以及
图6b为解除时序热点的实施例。
附图标号:
100  系统芯片            102  剩余空间
104  轻微热点            106  严重热点
110  低频区域            120  高频区域
112  模拟模块             114  存储器模块
116  针脚                 300  系统芯片
202  预留单元             302  交角区域
310  区域                 402  预留单元
404  预留单元
612  包含绕线热点的最小矩形
613  时序热点所在的频率区域
610  绕线热点             611  时序热点
620  绕线资源足够的区域
602、604  预留单元        606  闲置空间
630  低频区域
具体实施方式
下列实施例具体的说明如何以较佳的方式实现本发明。实施例仅供说明一般应用的方式,而非用以限缩本发明的范围。实际范围以权利要求所列为准。
图2为本发明的芯片布局方法流程图。相对于现有的做法,本发明提出一种预留空间的概念。具体做法是将预留空间包装成许多类似标准元件的多个预留单元,并根据局部的工作频率调整这些预留单元的分布方式,使后续的绕线安排更有效率,并提高芯片使用率。在步骤201中,启动本实施例的芯片布局程序。在步骤203中,对一系统芯片的基底进行基层规划(FloorPlan),将基本布局方式定义好。基层规划是由EDA软件提供的一现有技术,因此详细内容不在此介绍。接着在步骤205中,对整个系统芯片进行一全区预留程序。这个程序将计划预留的空间包装成与标准元件规格相似的多个预留单元。接着再以均匀分布的方式将这些预留单元散布在系统芯片的基底上。在步骤207中,对于已经配置好预留单元的系统芯片基底,进行一般现有的元件摆置步骤。摆置的方式如背景介绍所述,可能有R1、R2和R3三种类型。通常每个元件的操作频率都不同,而在摆置完成后,即会在芯片上依照操作频率归类为多个不同区域,例如高频区域及低频区域。举例来说,将工作频率范围视为一百分比例分布图,而工作频率最低的前PL%的元件所涵盖的区域,即定义为一低频区域。同样地,工作频率最高的前PH%的元件所涵盖的区域,定义为高频区域。在本发明中,PL%以及PH%的较佳范围在15%~25%之间。在步骤209中,接着进行一频率导向预留程序,将分布在该低频区域的预留单元移动一部分至该高频区域。一般来说,低频区域的空间利用率并不高,而高频区域的空间利用率却经常过高。所以通过频率导向预留程序,可使各区域的空间充份被利用。接着在步骤211中,进行局部元件重新摆置,藉以减低可能产生的热点。在步骤213中,根据摆置结果进行绕线及时序分析程序。在步骤215中,检查绕线结果。如果绕线热点和时序热点皆被解决,则跳至步骤223,结束本程序。如果尚有热点存在,则跳至步骤217。在步骤217中,判断逐次局部重新摆置的次数是否超过一限定值。该限定值是用以决定局部重新规划的最大次数。基于成本及时间考虑,可将该限定值定为10以下。但若成本及时间不在使用者的考虑范围内,则不限定仅为10次以下限定值的范围。如果次数未超过该限定值,则跳至步骤219,针对热点所在区域进行局部重新规划,之后再回到步骤211,再次进行局部重新摆置。如果在步骤217中判定逐次局部重新摆置的次数大于限定值,则跳至步骤221,增大芯片面积,之后回到步骤203,重新执行基层规划。通过本发明预留空间的概念,可使得递归解除热点的返覆次数以及加大芯片面积的机会大大减少。
图3a为本发明实施例的一系统芯片300。该系统芯片300的总面积由既定的长H和宽W决定。在基层规划时,会决定模拟模块112,存储器模块114和针脚116等基本布局。接着全区预留程序会在系统芯片300上散布许多的预留单元202。散布的方式原则上是均匀地摆置,但是也可以在一些容易产生热点的地方如交角区域302中增加预留单元202的数量。在摆置元件(PLACEMENT)时,这些预留单元202会被EDA软件视为实体上已被占用的空间,因此标准元件不会被摆置在预留单元202所占用的位置上。藉此,这些预留单元202有利于后续程序弹性地调度空间。
在本实施例中,假设标准元件总面积为ASTD,取其中的p%作为预留空间,则预留单元的总面积ARS可表示为下式:
A STD &times; p 100 = A RS - - - ( 1 )
p值可以是由现有EDA软件对系统芯片300进行递归增大面积的经验结果来决定,通常在2到4之间,例如3。另一方面,p值也可以由设计者凭自身的设计经验来决定。
图3b为图3a中的区域310的放大图。一般来说,标准元件具有事先定义好的规格,例如固定的列高。系统芯片300会被划分为许多列,而摆置标准元件的时候,列高是切齐的。而预留单元202的设计与摆置方式与标准元件相似,举例来说,在区域310中,预留单元202具有一致的列高HR。不同的预留单元202可以是具有一致的宽度,也可视情况赋予不同的宽度(W1、W2、W3和W4)。同时,摆置的方式也遵照列高切齐的原则。所有预留单元202的总面积即等于第(1)式所示的ARS
图4a为关于步骤209的实施例。在步骤207的元件摆置完成后,系统芯片300上的各种标准元件会依据工作频率形成一或多个低频区域110和高频区域120。如前所述,一般低频区域110中的空间利用率并不会很高,而高频区域120中的空间利用率却经常过高。另外,高频区域120中通常需要较多稳压元件,以增加其内的电源供应稳定度。所以本发明在步骤209中,将分布在该低频区域110中的预留单元202移动一部分至该高频区域120中。换个角度说,这个步骤是将低频区域110中的若干预留单元402删除,并在高频区域120中增加对应数量的预留单元404。
图4b为芯片内工作频率的百分等级分布图。横轴代表工作频率的百分等级,由0%到100%。纵轴代表每一等级的工作频率所对应的元件总面积。由图4b可知,低频区域可以定义为工作频率最低的前PL%的元件所涵盖的面积,表示为LA。同样地,高频区域可以定义为工作频率最高的前PH%的元件所涵盖的面积,表示为HA。PL与PH的设定可依照单一芯片内高低频率的分布面积而做不同的改变。以图4b的分布情形为例,PH值将会大于PL值,使LA和HA大致相等,使得后续执行频率导向预留程序时,移动预留单元的数量更为合理化。
同理,图4c为工作频率百分等级分布图的另一实施例。其中高频元件的数量较多,因此PL值的设定将大于PH值,使LA和HA大致相等。
在进行频率导向预留程序时,依据下列不等式,决定要移动的预留单元202的数量:
min(LRS,HRS)*r%<HS
其中LRS即为该低频区域110中的预留单元202总面积,HRS即为该高频区域120中的预留单元202总面积,HS是高频区域120中除了HRS之外的剩余空间资源,而r为一自定义参数。藉此,高低频区域的空间皆可恰当地被利用,尽量减少没有被利用到的剩余空间。
图5为图2中步骤219的进一步实施例。步骤219的目的在于针对局部进行元件的重新规划,以修正热点问题。在步骤501中,启动步骤219所述的局部重新规划程序。在步骤503中,统计每一热点中所涵盖的预留单元总面积,并以ARSi表示第i个热点中的预留单元总面积。接着在步骤505中,依照每个热点的成因及类型启动不同的解决步骤。若为空间资源不足以完成绕线,这些空间资源不足的区域即为绕线热点,需要步骤507来消除该绕线热点(图5中的箭头R)。相对的,对于一时序路径而言,其时序如果不符合操作频率或设计规则的要求,则判定所述的时序路径外围的区域为一时序热点,需以步骤509来消除该时序热点(图5中的箭头T)。
在步骤507中,解除绕线热点的方式是,从其他绕线资源足够的区域挪用一或多个预留单元至该绕线热点。进一步地说,挪用的原则可以表示为下式:
Pin ( BBOX ) Area ( BBOX ) + ( A RSi &times; q % ) < R routable - - - ( 2 )
其中BBOX是指包围该绕线热点的最小矩形,Pin(BBOX)是指该矩形内所包围的针脚总个数,Area(BBOX)是指该矩形的面积,ARSi是指该绕线热点中预留单元的总面积,q为挪用比例,而Rroutable为可绕线的最大针脚密度。由第(2)式可知,分母中增加了q%的预留面积,可使针脚密度保持在不大于Rroutable的状态,绕线热点就会消失。这些预留单元202在挪用之后就不再移动。
解除时序热点的步骤是在步骤509中进行,主要包含原有预留单元的释放,以及额外预留单元的补充。首先,将该时序热点中原有的预留单元释放出来成为闲置空间资源,使EDA软件能利用这些闲置空间资源进行局部修复程序。但是在修复后可能会使该时序热点中预留给稳压元件的空间减少,降低了电源供应的可靠度。为了不使稳压元件的摆置数量不因消除时序热点而减少,需再从低频区域110中额外挪用一或多个额外预留单元202至该时序热点中。步骤507或509结束后,跳至步骤511,结束局部重新规划。接着由图2所述的步骤211根据局部重新规划的结果进行局部重新摆置。
图6a为步骤507的解除绕线热点的实施例。其中包括一绕线热点610,包含此绕线热点的最小矩形612,以及若干预留单元202。为了解除绕线热点610,需从绕线资源足够的区域620中挪用多个预留单元202。更具体的说,是将绕线资源足够的区域620中的多个预留单元602消除,而在矩形612中新增对应数量的预留单元604。
图6b为步骤509的解除时序热点的实施例。包括一时序热点611、该时序热点所在的频率区域613,以及若干预留单元202。其中部分预留单元202被释放而成为闲置空间606,以供EDA软件运用。然而为了维持稳压元件的数量,需再从低频区域630中挪用相当数量的预留单元202过来。换句话说,即从低频区域630中消除部分预留单元602,并在时序热点所在的频率区域613中新增对应数量的预留单元604。
在本实施例中,预留单元202的列高固定,但是宽度可弹性改变,因此可以精确的控制芯片面积的预留率。预留单元202的数量也可以随之弹性调整,增加空间规划的灵活度。另外,预留单元202的分布除了可均匀摆置于全局空间之外,也可在特定局部增加用量,例如狭长信道空间,交角区域或是时序上复杂的区域,使解除热点的步骤更为容易进行。本发明提出的预留单元202技术可以跨平台搭配各种芯片布局工具软件进行,不限定实施的平台。在进行如步骤211的局部元件重新摆置时,可能会需要对已经存在的标准元件做推力增加(size-up)或额外安插其他元件(如缓冲元件),而这些步骤皆需要额外的空间。本发明的预留单元202恰好可以满足这种需求,大幅降低重新搬移标准元件的机会,使得递归修复的收敛速度倍增。整体来说,在芯片面积相同的状况下,本发明提出的方法相对于现有的方法,可以提升5%的芯片面积使用率。最后,在芯片布局程序结束后,可进一步将剩余的预留单元202指派为稳压元件的安置空间,使高频区域120的电源可靠度提高。
虽然本发明以较佳实施例说明如上,但可以理解的是本发明的范围未必如此限定。相对的,任何基于相同精神或对现有技术者为显而易见的改良皆在本发明涵盖范围内。因此权利要求范围必须以最广义的方式解读。

Claims (9)

1.一种芯片布局方法,用以在一芯片上摆置元件,所述的方法包含:
设定一芯片面积以进行一基层规划;
进行一全区预留程序,以定义多个预留单元,均匀分配于所述的芯片上;
根据所述的基层规划的结果进行元件的摆置,藉此元件的分布依照操作的频率归类为至少一高频区域以及一低频区域;
进行一频率导向预留程序,将分布在所述的低频区域的预留单元移动一部分至所述的高频区域;
进行局部元件重新摆置,藉以降低可能产生的热点;
进行一绕线及时序分析程序,分析元件摆置的结果是否产生热点;
如果没有产生热点,则结束该晶片布局方法,如果产生热点,则统计递回进行该绕线及时序分析程序的次数,在热点所在区域重新调整预留单元的分布方式,并回到局部元件重新摆置;
其中该进行元件的摆置包含:
将所有晶片内元件的工作频率划分为一百分等级;
定义工作频率低于一第一等级的区域为该低频区域;以及
定义工作频率高于一第二等级的区域为该高频区域;
其中该频率导向预留程序进一步包含:
计算该低频区域中的预留单元总面积,以及该高频区域中的预留单元总面积;
依据下列不等式,将分布在该低频区域的预留单元移动一部分至该高频区域:
min(LRS,HRS)*r%<HS
其中LRS为该低频区域中的预留单元总面积,HRS为该高频区域中的预留单元总面积,HS为高频区域中除了HRS之外的剩余空间资源,r为一自定义参数。
2.如权利要求1所述的芯片布局方法,其特征在于,所述的全区预留程序包含:
将元件的总面积乘上一预留比率,得到一预留总面积;
提供具有一固定列高的多个预留单元,使所有预留单元的总面积等于所述的预留总面积,其中所述的固定列高与元件列高相等;以及
均匀摆置所述的预留单元于所述的芯片上,并使预留单元的列高与元件列高切齐。
3.如权利要求2所述的芯片布局方法,其特征在于,所述的预留比率为2%到4%之间。
4.如权利要求1所述的芯片布局方法,其特征在于,所述的绕线及时序分析程序包含:
分析绕线的资源是否足够使用,绕线资源不足的区域即判定为一绕线热点。
5.如权利要求4所述的芯片布局方法,其特征在于,在热点所在区域重新调整预留单元的分布方式,包含:
如果所述的热点为一绕线热点,则从绕线资源足够的区域挪用一或多个预留单元至所述的绕线热点。
6.如权利要求5所述的芯片布局方法,其特征在于,从绕线资源足够的区域挪用一或多个预留单元至所述的绕线热点的步骤是根据下式:
Pin ( BBOX ) Area ( BBOX ) + ( A RSi &times; q % ) < R routable
其中BBOX是指包围所述的绕线热点的最小矩形,Pin(BBOX)是指所述的矩形内所包围的针脚总个数,Area(BBOX)是指所述的矩形的面积,ARSi是指所述的绕线热点中预留单元的总面积,q为挪用比例,而Rroutable为可绕线的最大针脚密度。
7.如权利要求1所述的芯片布局方法,其特征在于,所述的绕线及时序分析程序包含:
分析每一时序路径其时序是否符合操作频率或设计规则的要求,不符合的区域即判定为一时序热点。
8.如权利要求6所述的芯片布局方法,其特征在于,在热点所在区域重新调整预留单元的分布方式,包含:
如果所述的热点为一时序热点,则将所述的时序热点中的预留单元释放出来成为可供元件摆置的空间资源,接着从所述的低频区域中挪用一或多个预留单元至所述的时序热点。
9.如权利要求1所述的芯片布局方法,其特征在于,该方法进一步包含:在热点所在区域重新调整预留单元的分布方式之后,将芯片内一或多个预留单元释放出来成为可用空间,用以摆置稳压元件。
CN2008102126210A 2008-08-25 2008-08-25 芯片布局方法 Expired - Fee Related CN101661517B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2008102126210A CN101661517B (zh) 2008-08-25 2008-08-25 芯片布局方法
US12/351,213 US7926017B2 (en) 2008-08-25 2009-01-09 Layout method for a chip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2008102126210A CN101661517B (zh) 2008-08-25 2008-08-25 芯片布局方法

Publications (2)

Publication Number Publication Date
CN101661517A CN101661517A (zh) 2010-03-03
CN101661517B true CN101661517B (zh) 2012-02-15

Family

ID=41697495

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008102126210A Expired - Fee Related CN101661517B (zh) 2008-08-25 2008-08-25 芯片布局方法

Country Status (2)

Country Link
US (1) US7926017B2 (zh)
CN (1) CN101661517B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5492429B2 (ja) * 2009-03-05 2014-05-14 ルネサスエレクトロニクス株式会社 回路設計支援装置、回路設計支援方法、プログラム
US8677292B2 (en) * 2009-04-22 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell-context aware integrated circuit design
US9330499B2 (en) * 2011-05-20 2016-05-03 Microsoft Technology Licensing, Llc Event augmentation with real-time information
CN103870617B (zh) * 2012-12-12 2017-04-05 上海华虹宏力半导体制造有限公司 低频芯片自动布局布线方法
US9372951B2 (en) 2013-11-20 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design methods and conductive bump pattern enhancement methods
US9449136B2 (en) * 2015-01-20 2016-09-20 Yu-Hsiang Pan Integrated circuit layout structure and method having different cell row heights with different row ratios for area optimization
US10402534B2 (en) * 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout methods, structures, and systems
CN107967401B (zh) * 2017-12-21 2021-07-16 上海华力微电子有限公司 一种版图重复单元匹配性检查方法及系统
US10747935B2 (en) 2019-01-04 2020-08-18 International Business Machines Corporation Identification of hotspots in congestion analysis during physical design of integrated circuit
WO2020214271A1 (en) 2019-04-16 2020-10-22 Fungible, Inc. Ic routing for silicon circuits with smaller geometries
TWI690026B (zh) * 2019-04-22 2020-04-01 瑞昱半導體股份有限公司 使用模擬軟體產生電路佈局的方法
CN111950222B (zh) * 2019-04-29 2024-05-24 瑞昱半导体股份有限公司 使用模拟软件产生电路布局的方法
CN114861591B (zh) * 2022-07-07 2022-09-27 北京大学 一种可微分时序驱动的芯片布局优化方法
CN115510798B (zh) * 2022-11-18 2023-03-17 全芯智造技术有限公司 芯片排版方法及装置、计算机可读存储介质、终端设备

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5553002A (en) * 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US5847965A (en) * 1996-08-02 1998-12-08 Avant| Corporation Method for automatic iterative area placement of module cells in an integrated circuit layout
US7107568B2 (en) * 2002-10-07 2006-09-12 Hewlett-Packard Development Company, Lp. System and method for reducing wire delay or congestion during synthesis of hardware solvers

Also Published As

Publication number Publication date
CN101661517A (zh) 2010-03-03
US20100050140A1 (en) 2010-02-25
US7926017B2 (en) 2011-04-12

Similar Documents

Publication Publication Date Title
CN101661517B (zh) 芯片布局方法
US8307317B2 (en) Statistical on-chip variation timing analysis
US5737580A (en) Wiring design tool improvement for avoiding electromigration by determining optimal wire widths
Zhu Power distribution network design for VLSI
CN102467582B (zh) 一种集成电路设计中优化连线约束的方法和系统
JP2895129B2 (ja) 論理セルの配置処理方法及び論理セルの配置処理装置
US6378115B1 (en) LSI manufacturing method and recording medium for storing layout software
DE102005044533A1 (de) Aufgabenplanungsverfahren für geringe Leistungsableitung in einem Systemchip
US8156465B2 (en) Layout method and layout program for semiconductor integrated circuit device
US8291361B2 (en) System and method for supporting layout design of semiconductor integrated circuit
US7353485B1 (en) Method of flexible clock placement for integrated circuit designs using integer linear programming
US7539964B2 (en) Cell placement taking into account consumed current amount
US20010049814A1 (en) Automatic logic design supporting method and apparatus
US7200827B1 (en) Chip-area reduction and congestion alleviation by timing-and-routability-driven empty-space propagation
Dalal et al. Design of an efficient power distribution network for the UltraSPARC-I microprocessor
US7139989B2 (en) Semiconductor integrated circuit designing apparatus, semiconductor integrated circuit designing method, semiconductor integrated circuit manufacturing method, and readable recording media
US6311310B1 (en) Method and apparatus for wiring integrated circuits with multiple power buses based on performance
Lin et al. Macro-aware row-style power delivery network design for better routability
CN108089624A (zh) 芯片内部动态压降补偿方法和装置
CN100527136C (zh) 用于分配数据通路的方法和装置
Chen et al. A comparative study on multisource clock network synthesis
US10755019B2 (en) Method of designing an integrated circuit
JP2004086881A (ja) 半導体集積回路設計装置、半導体集積回路設計方法、半導体集積回路の製造方法および可読記録媒体
US10579765B2 (en) Chip and power planning method
Allani et al. An efficient algorithm for dual-voltage design without need for level conversion

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120215

Termination date: 20160825