CN109154772A - 抗蚀剂组合物 - Google Patents

抗蚀剂组合物 Download PDF

Info

Publication number
CN109154772A
CN109154772A CN201780030963.8A CN201780030963A CN109154772A CN 109154772 A CN109154772 A CN 109154772A CN 201780030963 A CN201780030963 A CN 201780030963A CN 109154772 A CN109154772 A CN 109154772A
Authority
CN
China
Prior art keywords
nano
cluster
ligand
agent composition
nano particle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780030963.8A
Other languages
English (en)
Other versions
CN109154772B (zh
Inventor
W-P·福尔蒂森
玛丽亚-克莱尔·范拉尔
S·F·伍伊斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN109154772A publication Critical patent/CN109154772A/zh
Application granted granted Critical
Publication of CN109154772B publication Critical patent/CN109154772B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0044Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists involving an interaction between the metallic and non-metallic component, e.g. photodope systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

一种抗蚀剂组合物,所述抗蚀剂组合物包含:a)含金属的纳米颗粒和/或纳米簇,和b)配体和/或有机连接体,其中a)或b)中的一种或两者是多价的。一种抗蚀剂组合物,其中:i)所述抗蚀剂组合物是负性抗蚀剂,并且所述纳米颗粒和/或纳米簇在暴露于电磁辐射或电子束后所述配体和/或有机连接体交联时成簇;或ii)所述抗蚀剂组合物是负性抗蚀剂,并且所述配体和/或有机连接体是交联的,并且交联键在暴露于电磁辐射或电子束时断裂,使所述纳米颗粒和/或纳米簇成簇到一起;或所述抗蚀剂组合物是正性抗蚀剂,并且所述配体和/或有机连接体是交联的,并且交联键在暴露于电磁辐射或电子束时断裂。

Description

抗蚀剂组合物
相关申请的交叉引用
本申请要求2016年5月19日提交的EP申请16170399.6的优先权,其通过引用以其整体并入本文。
领域
本发明涉及在光刻中使用的抗蚀剂(resist)组合物以及使用这样的抗蚀剂组合物生产半导体的方法。特别地,本发明涉及在EUV光刻中使用的抗蚀剂组合物。
背景
光刻设备是构造为将所需图案施加到基底上的机器。例如,可以在集成电路(IC)的制造中使用光刻装置。光刻设备可以例如将图案从图案化装置(例如掩模)投射到设置在基底上的辐射敏感材料(抗蚀剂)上。
光刻设备所使用的将图案投射到基底上的辐射波长决定在该基底上可以形成的特征的最小尺寸。与常规光刻设备(其可以例如使用波长为193nm的电磁辐射)相比,使用EUV辐射的光刻设备可以用于在基底上形成更小的特征,所述EUV辐射为波长在4-20nm范围内的电磁辐射。
已知的适用于光刻的抗蚀剂称为化学放大抗蚀剂(CAR),并且其基于聚合物。在暴露于电磁辐射或电子束时,CAR中的聚合物吸收光子或与电子反应,并且产生二次电子。二次电子的产生是高能光子或电子失去其能量中的大部分的方式。抗蚀剂中的二次电子扩散,并且可以进一步产生具有更低能量的二次电子,直到二次电子的能量低于使键断裂或导致离子化所需的能量。所产生的电子激发光生酸剂(PAG),所述光生酸剂随后分解并且可以催化解封(deblocking)反应,其导致CAR的溶解度变化。PAG可以在抗蚀剂内扩散,并且这是导致模糊(blurring)的因素。已知的CAR依赖于碳原子对光子的吸收。然而,碳在EUV光谱范围内具有低的吸收截面。因此,已知的CAR对EUV光子较透明,所以需要高剂量的EUV辐射,并且这进而需要高功率EUV源。在将来,随着Beyond EUV(BEUV)系统的出现,碳原子对BEUV光子的吸收甚至更低,所以可能需要甚至更高的剂量。
已知抗蚀剂的另一缺点在于由CAR的作用机制产生的大量化学噪声。化学噪声造成粗糙度,并且限制可以实现的特征的尺寸。特别地,噪声在CAR的作用机制中是固有的,因为该机制基于可以在反应前扩散穿过抗蚀剂的PAG。这样,发生造成抗蚀剂在显影剂中的溶解度变化的反应的最终位置不仅限于EUV光子在抗蚀剂上入射的区域。另外,在CAR体系中,由于CAR体系的性质造成的模糊(blur),在低临界尺寸的图案崩塌成为问题。此外,随着需要生产的特征的尺寸收缩,预测在7nm时,CAR型抗蚀剂将需要被认为是高剂量的50mJ/cm2的剂量,因此需要备选的抗蚀剂平台。在需要高剂量的情况下,抗蚀剂必须暴露于电磁辐射源更长的时间段。这样,单个机器在给定时间内可以生产的芯片的数量减少。
已经研究了备选的包含金属氧化物纳米颗粒的用于光刻、特备是EUV光刻的抗蚀剂体系,以尝试解决CAR的问题。这些备选的抗蚀剂体系包含金属氧化物纳米颗粒,通过配体壳防止所述金属氧化物纳米颗粒成簇到一起。在EUV曝光时,光子被纳米颗粒吸收,并且这导致产生二次电子。电子使在配体和纳米颗粒之间的键断裂。这使纳米颗粒成簇到一起,因此改变抗蚀剂的溶解度。与CAR中的碳原子相比,金属氧化物纳米颗粒具有更大的EUV吸收截面,因此存在更大的吸收EUV光子的可能性。因此,需要强度较小的束(其需要较低的功率)或较短的对EUV光子的暴露。此外,与CAR抗蚀剂体系相比,不同的转化机制具有潜在地更低的化学噪声。即使金属氧化物纳米颗粒体系与CAR体系相比具有更大的EUV吸收,仍存在在效率和模糊之间的平衡;在具有高转化效率(即,入射EUV光子产生大量电子)的体系中,单光子可以产生多个二次电子。对于CAR体系,这些电子可以在引起导致配体去除的化学反应之前行进穿过体系,并且电子的这一扩散导致高度模糊。金属氧化物纳米颗粒的半径一般为大约0.3至0.4nm,而由EUV光子的吸收产生的电子可以扩散几纳米。这样,电子可能朝与吸收EUV光子的颗粒相邻的颗粒扩散,并且可能使在这样的相邻颗粒与键合至这样的相邻颗粒的配体之间的键断裂。这可能导致模糊以及由此大的局部临界尺寸均匀性(LCDU)值,两者都是不期望的。
在EP2988172中讨论了一种这样的基于金属氧化物的体系,其使用包含水、金属低氧化物阳离子、多原子无机阴离子和包括过氧化物基团的一价配体的溶液。配体相对于金属低氧化物阳离子的摩尔浓度为至少约2,并且抗蚀剂组合物在没有额外混合的情况下在相分离方面稳定至少约两小时。提出了,在吸收辐射时,过氧化物官能团碎裂,并且组合物通过形成桥接的金属-氧键而缩合。然而,虽然与CAR体系中的碳的吸收截面相比,金属氧化物颗粒的使用增大了吸收截面,但是高转化效率意味着产生多个二次电子。在EP2988172中,二次电子自由地扩散穿过体系,并且使过氧化物基团碎裂。因此,存在高度模糊和大的LCDU(局部临界尺寸均匀性)值,两者都是不期望的。
对于LCDU值,优选的是保持在15%的界限内,因此需要更低效率的体系以避免与已知金属氧化物纳米颗粒体系相关联的问题。然而,这需要使用更高剂量的EUV,因此过程的生产量降低。
尽管本申请一般涉及EUV光刻生产量,但是本发明不仅限于EUV光刻,并且应了解本发明的主题可以用于使用频率高于或低于EUV的频率的电磁辐射的光刻法的抗蚀剂,或用于任何其他类型的光刻,如电子束光刻。
概述
考虑到已知抗蚀剂、特别是EUV抗蚀剂的上述问题,已经完成了本发明。本发明实现了改善的电磁辐射如EUV的吸收,同时还控制模糊的量。尽管抗蚀剂的吸收截面可以通过从CAR转向包含金属氧化物纳米颗粒的抗蚀剂来改善,但是增大的吸收截面可能导致由所产生的增加量的二次电子造成的模糊。
根据本发明的第一方面,提供了一种抗蚀剂组合物,所述抗蚀剂组合物包含:a)含金属的纳米颗粒和/或纳米簇,和b)配体和/或有机连接体,其中组分a)或b)中的一种或两者是多价的。优选地,组分a)和b)两者都是多价的。含金属的纳米颗粒和/或纳米簇可以含有共价键合的主体基团和/或客体基团,其可以多价结合,或者以多价形式结合的配体和/或有机连接体在其上组装。如以下将更详细地说明的,使用多价的纳米颗粒/纳米簇和/或配体/有机连接体导致对所产生的任何二次电子的更大程度的控制,由此减少模糊。有机链可以连接至具有主体端基、客体端基、或主体端基和客体端基两者的MO簇,并且这些端基可以与附接至其他MO簇的分子的主体端基和/或客体端基或者直接与其他MO簇多价键合。一个配体和/或有机连接体可以与一个纳米颗粒和/或纳米簇具有多个键。一个配体和/或有机连接体可以与至少一个其他的配体和/或有机连接体具有多个键。一个配体和/或有机连接体可以与至少一个纳米颗粒或纳米簇和至少一个其他的配体和/或有机连接体具有多个键。在MO簇的合成中可以并入具有主体或客体基团的有机连接体。在这样的实施方案中,具有多个主体基团的MO簇将与多个客体基团多价结合。有机碳水化合物链可以连接至金属原子或氧原子。这些多价键中的一个的形成或断裂分别改变另一多价键形成或断裂的可能性。
抗蚀剂组合物可以是负性抗蚀剂或正性抗蚀剂。在抗蚀剂组合物是负性抗蚀剂的情况下,纳米颗粒/纳米簇在配体和/或有机连接体和纳米颗粒和/或纳米簇的交联时成簇。交联优选地通过暴露于电磁辐射或电子束引起。优选地,交联降低抗蚀剂组合物在显影剂中的溶解度。在一个备选的负性抗蚀剂组合物中,通过暴露于电磁辐射或电子束的交联键的断裂使纳米颗粒/纳米簇成簇到一起。已经成簇到一起的纳米颗粒/纳米簇在显影剂中的溶解度优选地降低。在抗蚀剂组合物是正性抗蚀剂的情况下,配体/有机连接体优选地是最初交联的,并且交联键在暴露于电磁辐射或电子束时断裂。优选地,交联键的断裂使正性抗蚀剂组合物更溶于显影剂。备选地或另外地,用于正性抗蚀剂的显影剂溶液可以含有高浓度的一价配体/有机连接体,以促使在纳米颗粒/纳米簇上的配体/有机连接体解吸,或引起一价和多价的主体和/或客体之间的竞争。
含金属的纳米颗粒和/或纳米簇可以是金属氧化物纳米颗粒或纳米簇。金属氧化物纳米颗粒或纳米簇可以包含任何合适的金属。纳米颗粒可以是金属氧化物簇。金属氧化物纳米颗粒或纳米簇中的金属可以包括一种或多种碱金属、碱土金属、过渡金属、镧系元素、锕系元素或后过渡金属。后过渡金属是位于元素周期表的p区中的金属。优选地,金属选自锡或铪,但是可以使用多种其他的具有高EUV吸收截面的金属氧化物。优选地,金属氧化物是SnO2或HfO2。与碳相比,金属一般具有更高的EUV吸收截面,所以与依赖于碳吸收电磁辐射的抗蚀剂相比,包含金属的抗蚀剂对EUV辐射相对较不透明。锡和铪特别地表现出对EUV辐射和电子束的良好吸收,并且显示出抗蚀刻性。
金属氧化物纳米颗粒/纳米簇可以包含一种或多种金属氧化物。纳米颗粒/纳米簇中可以存在另外的化合物。可以根据抗蚀剂所用于的光刻的确切性质调整纳米颗粒/纳米簇的性质以提供优化的性能。
含金属的纳米颗粒和/或纳米簇可以具有任何合适的尺寸。优选地,纳米颗粒和/或纳米簇的总横向尺寸为约0.1nm至约10nm,更优选约0.5nm至约5nm,并且最优选约0.7nm至约1nm。
优选地,纳米颗粒和/或纳米簇的高度为约0.1nm至约10nm,更优选约0.5nm至约5nm,并且最优选约2nm。纳米颗粒和/或纳米簇需要是小的以使模糊最小化。然而,如果纳米颗粒和/或纳米簇太小,则有更大量的键形成或断裂,其需要更高的剂量,并且因此降低生产量。已经出人意料地发现,具有本文指示尺寸的纳米颗粒和/或纳米簇提供在模糊最小化和所需剂量之间的最佳平衡。
抗蚀剂组合物可以包含具有第一组成的第一纳米颗粒和/或纳米簇以及具有第二组成的第二纳米颗粒和/或纳米簇。将了解,抗蚀剂组合物中也可以包含具有另外的组成的另外的纳米颗粒和/或纳米簇。可以有利的是在组合物中具有多于一种类型的纳米颗粒和/或纳米簇,以针对其所用于的特定任务来调整抗蚀剂的性能。
抗蚀剂组合物可以包含一种或多种不同的配体和/或有机连接体。配体可以在纳米颗粒/纳米簇的表面上自组装。有机连接体是能够键合至纳米颗粒/纳米簇并且直接地或经由第二有机连接体将纳米颗粒/纳米簇连接至第二纳米颗粒/纳米簇的分子。配体可以是有机连接体,反之亦然。
含金属的纳米颗粒和/或纳米簇可以包括多个客体位点或主体位点。含金属的纳米颗粒和/或纳米簇可以包括主体位点和客体位点两者。配体和/或有机连接体可以包括多个主体位点或客体位点。配体和/或有机连接体可以包括主体位点和客体位点两者。可以使用任何合适的主体位点和客体位点的组合。
抗蚀剂组合物优选地适用于EUV。优选地,抗蚀剂组合物还适用于频率比EUV高或低的光子。抗蚀剂组合物还可以适用于电子束光刻。抗蚀剂组合物可以是光刻抗蚀剂组合物。
优选地,抗蚀剂在显影剂中的溶解度在暴露于电磁辐射如EUV或者电子束时改变。在负性抗蚀剂组合物的情况下,相对于抗蚀剂组合物的未曝光的一个或多个区域的溶解度,抗蚀剂组合物暴露于电磁辐射或电子束的一个或多个区域在显影剂中的溶解度可以降低。在正性抗蚀剂组合物的情况下,相对于抗蚀剂组合物的未曝光的一个或多个区域的溶解度,抗蚀剂组合物暴露于电磁辐射或电子束的一个或多个区域在显影剂中的溶解度可以升高。
在本发明的第一实施方案中,含金属的纳米颗粒和/或纳米簇、优选金属氧化物纳米颗粒和/或纳米簇可以被多个多价配体和/或有机连接体包围。多价配体和/或有机连接体可以围绕纳米颗粒和/或纳米簇形成壳。在暴露于电磁辐射如EUV或者电子束时,第一纳米颗粒/纳米簇的客体位点,或具有通过包围所述第一纳米颗粒/纳米簇的有机连接体或配体连接的客体位点的纳米颗粒/纳米簇,可以与第二纳米颗粒/纳米簇的主体位点,或包围所述第二纳米颗粒/纳米簇或具有通过有机连接体连接的主体基团的纳米颗粒/纳米簇的配体/有机连接体形成键。优选地,这样的键的形成使得在能量方面更有利于在第一和/或第二纳米颗粒/纳米簇,或包围第一和/或第二纳米颗粒/纳米簇的配体/有机连接体,与其他纳米颗粒/纳米簇和/或配体/有机连接体之间形成键。由于配体/有机连接体和纳米颗粒/纳米簇和具有带有主体基团或客体基团的有机连接体的纳米颗粒/纳米簇是多价的,经由多价配体/连接体在两个纳米颗粒/纳米簇之间的键的形成使得在能量方面更有利于其他配体/有机连接体与这样的纳米颗粒/纳米簇形成键。因此,更可能的是,通过纳米颗粒/纳米簇的光子吸收产生的二次电子导致在吸收光子的纳米颗粒/纳米簇和另一纳米颗粒/纳米簇之间的键形成,而不是由一个纳米颗粒/纳米簇产生的二次电子扩散离开并且在其他纳米颗粒/纳米簇之间形成键或使键断裂。因此,二次电子扩散穿过抗蚀剂并且造成在本身并未暴露于电磁辐射的纳米颗粒/纳米簇之间的键形成,由此造成模糊,是较不可能的。将理解,提及在纳米颗粒/纳米簇之间的键不必是在纳米颗粒/纳米簇之间的直接键,而可以是经由在纳米颗粒/纳米簇之间的一个或多个配体和/或有机连接体形成的。然而,使用具有多个主体基团和/或客体基团的MO簇/颗粒形成多价键是最期望的并且热力学有利的,因为在这样的实施方案中,MO簇/颗粒相对于彼此定位,这可能导致在MO簇/颗粒之间的更局部的成簇反应。预期这样的“确定性定位”本身可以减少模糊以及LWR和LER。主体-客体键也可能在纳米颗粒/纳米簇和配体/有机连接体之间,使得配体/有机连接体可以桥接两个纳米颗粒/纳米簇。
优选地,与配体/有机连接体未键合至其他配体/有机连接体的一个或多个区域相比,抗蚀剂的配体/有机连接体键合至其他配体/有机连接体的一个或多个区域在显影剂中具有不同的在显影剂中的溶解度。优选地,与配体/有机连接体未键合至其他配体/有机连接体的一个或多个区域相比,抗蚀剂的配体/有机连接体已经键合至其他配体/有机连接体的一个或多个区域具有更低的在显影剂中的溶解度。优选地,在配体/有机连接体之间的客体-主体键的形成使纳米颗粒/纳米簇成簇,由此降低暴露于电磁辐射或电子束的区域在显影剂中的溶解度。将了解,键不必一定在配体/有机连接体之间,而是也可以在纳米颗粒/纳米簇和配体/有机连接体之间。例如,以此方式,可以形成纳米颗粒-配体-纳米颗粒键或纳米簇-有机连接体-纳米簇键。可以想到,二次电子的形成通过二次电子或所形成的自由基引起随机切断反应,其可能通过任何碳水化合物或其他有机组分的崩解而导致纳米颗粒/纳米簇的直接成簇。
在本发明的第二实施方案中,含金属的纳米颗粒和/或纳米簇、优选金属氧化物纳米颗粒和/或纳米簇可以被多个多价配体和/或有机连接体包围。多价配体/有机连接体可以围绕含金属的纳米颗粒/纳米簇形成壳。在暴露于电磁辐射如EUV之前,在配体/有机连接体上的客体位点和其他配体/有机连接体的主体位点之间存在键。因此,纳米颗粒/纳米簇和/或配体/有机连接体可以交联。键也可以在纳米颗粒/纳米簇上的主体和配体/有机连接体上的客体之间,反之亦然。以此方式,存在利用主体-客体键保持在一起的配体/有机连接体和纳米颗粒/纳米簇的基体。在暴露于电磁辐射如EUV或者电子束时,客体-主体键断裂,并且相比于包围其他其相关联的配体和/或有机连接体尚未使其客体-主体键断裂的纳米颗粒和/或纳米簇的配体和/或有机连接体之间的键断裂,所述客体-主体键的断裂在能量方面更有利于包围与其客体-主体键已经断裂的配体和/或有机连接体相关联的所述含金属的纳米颗粒和/或纳米簇的配体和/或有机连接体之间的键断裂。在配体和/或有机连接体之间的键的断裂可以使纳米颗粒/纳米簇成簇到一起。
优选地,在客体位点和主体位点之间的键的断裂改变抗蚀剂的发生断裂的一个或多个区域在显影剂中的溶解度。溶解度可以升高或降低。优选地,基体体系可溶解于显影剂中。
在抗蚀剂是正性抗蚀剂的情况下,显影剂可以含有具有客体位点和/或主体位点的一价配体/有机连接体,其与多价配体/有机连接体竞争。一价配体/有机连接体可以与多价配体/有机连接体结合,由此将纳米颗粒/纳米簇分离。在本发明的第二实施方案中的一价配体/有机连接体的使用控制通过照射产生的二次电子。这使得能够降低模糊的量,同时使得能够在给定时间段内通过单个机器生产大量芯片。
形成主体位点的主体基团可以包括任何合适的基团。例如,主体基团可以是伯铵基团、仲铵基团、叔铵基团、季铵基团、胺氧化物、碳正离子、或小的DNA碱基或肽。形成客体位点的客体基团可以包括任何合适的基团。例如,客体基团可以包括小DNA碱基、肽、羧酸或纳米颗粒/纳米簇(如SnOx或HfOx簇)的带电表面区域。
配体可以包括连接体部分。连接体部分可以是有机的。连接体部分可以包括聚(环乙亚胺)、聚(乙二醇)、聚(甲醛)、聚(丙烯酰胺)、聚(乙烯醇)、聚(丙烯酸)或任何碳水化合物链。碳水化合物链可以配备有具有高EUV吸收截面的原子如氮或氧。连接体部分可以形成配体的主链。连接体部分可以连接在配体上的包括主体位点和/或客体位点的基团。可以选择连接体部分以使抗蚀剂组合物在照射前交联,然后交联键在照射后断裂。备选地,可以选择连接体部分以使抗蚀剂组合物在照射前不交联,并且在照射后变得交联。
配体和/或有机连接体可以包括一个或多个可裂解基团。一个或多个可裂解基团可以是任何合适的基团。可裂解基团可以是可热裂解的。可热裂解基团可以是例如酯季铵盐、碳酸酯、超分子供体-受体体系如肽键。可热裂解键可以基于氨基甲酸酯或狄尔斯阿尔德(diels-alder)反应。一个或多个可裂解基团可以是通过EUV可裂解或偶联的,如甘菊环、螺吡喃、偶氮苯或紫罗碱。可裂解基团可以基于硫醇-烯(thiol-ene)化学、顺式-反式化学、酮-烯醇互变异构体、超分子供体-受体体系如肽键和对光不稳定基团。一个或多个可裂解基团也可以是通过其他手段可裂解的,如通过酸、碱、还原或氧化,并且可以包括酰胺、二硒化物、二硫化物、缩醛、三硫代碳酸盐(trithiocarbonate)、碳酸盐(carbonate)、缩酮、酯、原酸酯、亚胺、腙、半缩醛酯或烯烃。将了解,这不是可能的可裂解基团的详尽清单,并且技术人员将理解,根据其中使用抗蚀剂组合物的情况,其他基团可以是合适的。配体和/或有机连接体可以包括一个或多个可固化基团。可固化基团是可以在暴露于合适的辐射如EUV或电子束时变得交联的基团。固化也可以通过化学或热手段引起。
抗蚀剂组合物可以另外地包含任何合适的溶剂。
根据本发明的第三实施方案,提供一种生产半导体的方法,所述方法包括:将包含以下各项的抗蚀剂组合物涂敷到半导体基底:a)含金属的纳米颗粒和/或纳米簇,和b)配体和/或有机连接体,其中a)或b)中的一种或两者是多价的;将所述抗蚀剂暴露于电磁辐射或电子束;和使所述抗蚀剂显影。
在本发明的第三方面的方法中使用的抗蚀剂组合物可以是本文所公开的抗蚀剂组合物中的任一种。
电磁辐射可以是EUV。电磁辐射可以具有比EUV的频率大或小的频率。
本发明的第三方面的方法还可以包括烘烤半导体基底。优选地,在电磁辐射或电子束曝光步骤后进行烘烤。
优选地,抗蚀剂组合物的厚度为使得抗蚀剂层的吸收是约10%至约50%,约20%至约40%,并且优选约30%。
优选地,抗蚀剂组合物不包含光生酸剂。
在一些实施方案中,抗蚀剂组合物不包含过氧化物基团。
附图简述
现在将仅通过举例和参照所附示意图描述本发明的实施方案,其中:
-图1示出了可以用于照射本发明的抗蚀剂组合物的包括光刻设备和辐射源的光刻系统;
-图2示出了多价性的示意图;
-图3示出了根据本发明的第一实施方案的抗蚀剂组合物的转化机制的示意图;
-图4示出了根据本发明的第二实施方案的抗蚀剂组合物的转化机制的示意图。
详述
图1示出了可以用于照射本发明的抗蚀剂组合物的光刻系统。光刻系统包括辐射源SO和光刻设备LA。辐射源SO配置成产生极紫外(EUV)辐射束B。光刻设备LA包括照明系统IL、配置成支撑图案化装置MA(例如掩模)的支撑结构MT、投射系统PS和配置成支撑基底W的基底工作台WT。在基底W上提供根据本发明的一个实施方案的抗蚀剂组合物的层。照明系统IL配置成在辐射束B入射到图案化装置MA上之前对其进行调节。投射系统配置成将辐射束B(当前通过掩模MA图案化的)投射到基底W上。基底W可以包括预先形成的图案。在这样的情况下,光刻设备将图案化的辐射束B与在基底W上预先形成的图案对齐。
辐射源SO、照明系统IL和投射系统PS都可以构建和布置成使得它们可以与外部环境隔离。可以在辐射源SO中提供处于低于大气压的压力的气体(例如氢气)。可以在照明系统IL和/或投射系统PS中提供真空。可以在照明系统IL和/或投射系统PS中提供少量的处于远低于大气压的压力的气体(例如氢气)。
图1所示的辐射源SO是可以称为激光产生等离子体(LPP)源的类型。激光器1(其可以是例如CO2激光器)布置成通过激光束2将能量沉积到燃料如锡(Sn)中,所述燃料从燃料发射器3中提供。虽然在以下描述中提到锡,但是可以使用任何合适的燃料。燃料可以是例如液体形式,并且可以是例如金属或合金。燃料反射器3可以包括喷嘴,所述喷嘴配置成将例如液滴形式的锡沿着朝向等离子体形成区4的轨迹引导。激光束2入射到在等离子体形成区4处的锡上。激光能量到锡中的沉积在等离子体形成区4处产生等离子体7。在等离子体的离子的去激发和重组期间从等离子体7发射辐射(包括EUV辐射)。
通过近正入射辐射收集器5(有时更笼统地称为正入射辐射收集器)将EUV辐射收集和聚焦。收集器5可以具有布置成反射EUV辐射(例如,具有所需波长如13.5nm的EUV辐射)的多层结构。收集器5可以具有椭圆形构造,其具有两个椭圆焦点。第一焦点可以在等离子体形成区4处,并且第二焦点可以在中间焦点6处,如下文所描述。
激光器1可以与辐射源SO分隔开。在这样的情况下,可以在束递送系统(未示出)的帮助下将激光束2从激光器1传递到辐射源SO,所述束递送系统包括例如合适的引导反射镜和/或扩束器,和/或其他光学元件。可以将激光器1和辐射源SO一起认为是辐射系统。
通过收集器5反射的辐射形成辐射束B。辐射束B在点6处聚焦以形成等离子体形成区4的像,其作为用于照明系统IL的虚拟辐射源。辐射束B聚焦的点6可以称为中间焦点。辐射源SO布置成使得中间焦点6位于在辐射源的封装结构9中的开口8处或其附近。
辐射束B从辐射源SO传递到配置成调节辐射束的照明系统IL中。照明系统IL可以包括琢面(facetted)场反射镜装置10和琢面光瞳反射镜装置11。琢面场反射镜装置10和琢面光瞳反射镜装置11一起提供具有所需截面形状和所需角分布的辐射束B。辐射束B从照明系统IL传递,并且入射到通过支撑结构MT支撑的图案化装置MA上。图案化装置MA将辐射束B反射并且图案化。除了或者代替琢面场反射镜装置10和琢面光瞳反射镜装置11,照明系统IL还可以包括其他反射镜或装置。
在从图案化装置MA反射后,图案化的辐射束B进入投射系统PS。投射系统包括多个反射镜,所述反射镜配置成将辐射束B投射到通过基底工作台WT支撑的基底W上。投射系统PS可以将缩小因数应用于辐射束,形成具有比在图案化装置MA上的相应特征小的特征的图像。例如可以应用为4的缩小因数。虽然在图1中投射系统PS具有两个反射镜,但是投射系统可以包括任意数量的反射镜(例如六个反射镜)。
图1所示的辐射源SO可以包括未示出的组件。例如,可以在辐射源中提供光谱滤波器。光谱滤波器可以对EUV辐射基本上透射,但是对其他波长的辐射如红外辐射基本上阻挡。
可以认为术语“EUV辐射”涵盖具有在4-20nm范围内、例如在13-14nm范围内的波长的电磁辐射。EUV辐射可以具有小于10nm、例如在4-10nm范围内、如6.7nm或6.8nm的波长。
虽然图1示出了作为激光产生等离子体LPP源的辐射源SO,但是可以使用任何合适的源来产生EUV辐射。例如,可以通过使用放电将燃料(例如锡)转化为等离子体态来产生EUV发射等离子体。此类型的辐射源可以称为放电产生等离子体(DPP)源。可以通过电源产生放电,所述电源可以形成辐射源的一部分,或者可以是通过电连接连接到辐射源SO的单独实体。
分子或纳米颗粒与合适的基团(主体和客体)之间的非共价键合可以通过热力学平衡常数K来描述。其中存在可逆反应的体系达到其中一个反应的速率等于逆反应的速率的平衡。以下等式1示出了形成其中主体位点和客体位点键合的化合物的主体(H)位点和客体(G)位点之间的可逆反应:
等式1:
通过等式2计算可逆反应的热力学平衡常数:
等式2:
在平衡体系中,主体-客体体系连续地进行结合和去结合(de-binding)事件。在K大的情况下,群体中的多数将处于结合状态。与此相比,在K小的情况下,群体中的多数将处于未结合状态。可以认为主体-客体结合的驱动力是总的吉布斯(Gibbs)自由能降低(ΔG)。
吉布斯自由能包括两个贡献;i)焓(ΔH)和ii)熵(ΔS),并且通过等式3关联:
等式3:ΔG=ΔH-TΔS,其中T是开氏温度
可以看出,反应的焓增加(其中对放热反应来说是负数)可以抵消熵减少,反之亦然。
主体位点和客体位点之间的键合可以是协同的。协同结合可以是正的或负的。这意味着,与在仅加和相互作用时可以预期的相比,主体与多个客体的结合可以导致总体大得多或小得多的结合常数。例如,在正协同性的情况下,具有例如与三个单齿分子结合的三个客体位点的分子的平衡常数大于彼此可逆地形成客体-主体键的两个单齿分子的平衡常数的三倍。
与正协同体系相比,在多价体系中可以获得更大的热力学平衡结合常数。
多价可以定义为两种以上多价试剂之间的相互作用,其包括多个独立的相同类型的相互作用。
图2示出了多价体系的示意图。多价体系和协同体系的主要差别在于在多价体系中,分子各自具有多个主体位点或多个客体位点。因此,在具有多个客体位点的分子和具有多个主体位点的分子之间可以形成多个键。分子或纳米颗粒当然可能具有主体位点和客体位点两者。
在图2中,热力学平衡结合常数K4大于其中分子中的一个是一价的体系的热力学平衡结合常数K3的三倍。因此,与对于未键合的主体位点和客体位点相比,体系在热力学方面更有利于使主体-客体相互作用最大化。
一般指示为15的纳米颗粒示出了具有在纳米颗粒表面上的主体位点的纳米颗粒。一般指示为16的纳米颗粒示出了具有连接至纳米颗粒的分子的纳米颗粒和具有主体端基的分子。在具有单个客体基团的分子20和纳米颗粒15的主体位点中的一个之间的一价键17具有热力学结合常数K3。分别在多价分子和纳米颗粒15之间以及在两个纳米颗粒之间的多价键18、19具有热力学结合常数K4。由于键18、19是多价的,热力学结合常数K4大于一价键17的热力学结合常数的三倍。多价配体21、22示出了主体基团都可以直接连接至可以是纳米颗粒的共同要素X,或者主体基团中的一个或多个可以间接连接至共同要素X。
图3是根据本发明的第一实施方案的抗蚀剂组合物的示意图。图3a示出了各自被多价配体的壳包围的金属氧化物纳米颗粒的基体。当然将理解的是,客体位点和主体位点可以存在于纳米颗粒本身上,或者存在于与纳米颗粒相关联的配体上,或者存在于与配备有主体基团和/或客体基团的纳米颗粒共价键合的连接体上,或者是三种的组合。多价配体具有多个客体位点和/或主体位点。在用电磁辐射如EUV照射时,光子被含金属的纳米颗粒吸收,其产生二次电子。二次电子可以提供在与第一纳米颗粒相关联的配体上或在纳米颗粒本身上的客体位点与在与第二纳米颗粒相关联的配体上或在第二纳米颗粒本身上的主体位点之间形成键所需的能量。
图3b示出了在相邻颗粒上的客体位点和主体位点之间形成的新键。由于配体和/或纳米颗粒是多价的,第一键的形成使在所述纳米颗粒或配体上的其他主体位点和/或客体位点的键形成在能量方面更有利。因此,在纳米颗粒吸收光子后产生的二次电子更可能形成涉及这样的纳米颗粒的键。以此方式,减少由电子的扩散造成的模糊量。
图3c示出了优先在相邻颗粒之间形成新键。在本发明的第一实施方案中,最能量有利的状态是其中在多价配体和/或纳米颗粒之间的键合最大化的状态。
图3d示意性地示出了优先在抗蚀剂组合物的暴露于电磁辐射或电子束的区域中出现在纳米颗粒之间的键。
图4示出了本发明的第二方面,其仍给予多价,但是基于主体-客体键的断裂而不是主体-客体键的形成。抗蚀剂组合物包含纳米颗粒,优选地包含氧化锡,其具有多价配体的壳,所述多价配体具有客体位点和/或主体位点。此体系可溶于含有具有客体位点和/或主体位点的一价配体的显影剂,所述一价配体与多价配体竞争。一价配体可以与包围纳米颗粒的多价配体结合,由此将配体与纳米颗粒分离。
热力学有利的是使主体-客体相互作用最大化。多价体系,如本发明的第二方面的多价体系,一般通过牺牲可用连接体的形状的构象自由度来使主体-客体相互作用最大化。连接体可以是任何合适的基团,但是可以是碳水化合物。使主体-客体键最大化的热力学有利性意味着主体-客体体系通常牢固地键合。主体-客体位点的键合产生包含纳米颗粒和配体的基体。在配体的主链和周围溶剂之间的相互作用将最小化以使得形成热力学更有利的主体-客体键,甚至以熵增加为代价。例如,碳水化合物链可以卷曲以使主体-客体键合发生,因为这导致总的吉布斯自由能降低。在EUV曝光时,二次电子使主体-客体键断裂。这使二次电子损失能量。由于体系是基于多价的,第一键的断裂使得在能量方面更有利于使与纳米颗粒相关联的其余键断裂。因此,已经使第一键断裂并且现在具有较低能量的二次电子不足以使完全键合纳米颗粒的键中的一个断裂,但是具有足够的能量使已经断裂了键的纳米颗粒的键中的一个断裂。因此,体系的多价性控制由二次电子引起的反应,并且使得光吸收将更可能导致与吸收了光子的纳米颗粒相关联的主体-客体键的裂解。由于主体-客体键合的最大化通过使配体的主链卷曲导致在主链和周围溶剂之间的相互作用最小化,纳米颗粒彼此紧密靠近,因此当主体-客体键断裂时,在暴露于电磁辐射或电子束的区域中,含金属的纳米颗粒将优先在此区域中成簇,由此使所述区域不溶于显影剂。当在配体和/或纳米颗粒之间的客体-主体键处于原位时,抑制在此体系中的纳米颗粒的聚集。因此,当客体-主体键断裂时,这使纳米颗粒聚集。聚集的纳米颗粒不溶于显影剂,因此可以用作负性抗蚀剂。在基于主体-客体键的断裂的正性抗蚀剂组合物的情况下,键的断裂优选地使抗蚀剂组合物更可溶于显影剂中。
可以根据特定的所需组合物调整在配体、配体与纳米颗粒和/或纳米颗粒之间的结合相互作用。例如,对于在负性抗蚀剂中使用,可能需要在形成多价结合时获得高的结合常数。对于在正性抗蚀剂中使用,这样的体系可以设计成具有较弱的结合常数,以使一价配体竞争结合位点,以此分解在纳米颗粒、在纳米颗粒上或在与纳米颗粒共价键合的连接体上的配体之间的主体-客体基团。
本发明的第一和第二实施方案的抗蚀剂组合物可以用于生产半导体装置的方法。
可以将抗蚀剂组合物涂敷到半导体基底。然后可以将抗蚀剂暴露于电磁辐射如EUV或者电子束。然后可以使抗蚀剂显影。
所述方法可以包括烘烤半导体基底。不希望受科学理论限制,据认为在本发明的第一实施方案的抗蚀剂组合物中的电子将被激发并且将形成另外的键。由于配体和/或纳米颗粒是多价的,这样的键将优先在已经键合的配体和/或纳米颗粒之间形成。因此,据认为烘烤将不会显著增加模糊。所述方法可以任何合适的显影剂中显影。根据本发明的第一实施方案,连接的纳米颗粒和配体不溶于显影剂,并且在显影后将保留在半导体基底的表面上。未连接的纳米颗粒可溶于显影剂中,并且在显影期间被去除。
备选地,根据基于键的断裂和纳米颗粒的聚结的本发明的第二实施方案,在烘烤期间,与其他纳米颗粒和/或配体多价键合的纳米颗粒和/或配体处于其最热力学稳定的状态,因此存在较低的键断裂的可能性。与此相比,与其具有已经断裂的到其他配体和/或纳米颗粒的一个或多个键的纳米颗粒和/或配体相关联的键断裂存在增大的可能性。因此,据认为烘烤将不会显著增加模糊。由于主体-客体键的断裂而已经能够聚结的纳米颗粒不溶于显影剂,并且在显影后保留在半导体基底的表面上。可以在包含高浓度的一价配体的显影剂中使抗蚀剂组合物的尚未暴露于电磁辐射或电子束的一个或多个区域显影,所述一价配体竞争主体-客体相互作用。可以改变在显影剂溶液中的较高浓度的一价配体来通过用一价相互作用替换多价相互作用调整溶解度。以此方式,促使多价复合物的结合和去结合事件的发生达到客体位点被一价配体占据的状态。备选地,在抗蚀剂组合物是正性抗蚀剂的情况下,抗蚀剂的暴露于电磁辐射或电子束的一个或多个区域可溶于显影剂中。
实施例1-基于键形成的负性抗蚀剂组合物
组合物包含吸收剂部分和交联部分。吸收剂部分是含金属的纳米颗粒,并且交联部分是多价配体。在溶液中,纳米颗粒主要带负电。在此实施例中,纳米颗粒是SnOx纳米颗粒,虽然可以使用任何合适的纳米颗粒。纳米颗粒的表面具有多个带负电主体位点。主体位点是可以与在另一纳米颗粒或配体上的客体位点形成键的位点。可以使用任何合适的客体-主体键。在本实施例中,在纳米颗粒表面上的带负电主体位点和配体上的带正电客体位点之间形成主体-客体键。带正电客体位点可以包括伯胺或仲胺。配体可以包括连接有一个或多个伯胺或仲胺的碳水化合物主链。配体包括多个客体位点。然而,将了解可以使用任何合适的客体-主体键。例如,电子可以造成客体位点的构象变化,其使与主体位点的键形成。这样的构象变化可以是顺式构象和反式构象之间的转变,反之亦然。
主体-客体键的产生使纳米颗粒彼此紧密靠近。这可以是碳水化合物链的至少部分崩解以允许成簇的结果。通过电磁辐射或电子束曝光产生的二次电子可以造成带正电客体位点的去结合。由此,纳米颗粒能够在配体的局部化去结合时成簇到一起。在未曝光区域中,纳米颗粒将不成簇,因为它们被配体包围。可以在显影期间通过应用具有大浓度的一价配体的显影剂溶液来提高未曝光区域的溶解度以及在曝光区域中的纳米颗粒的进一步成簇。
实施例2-基于键断裂的负性抗蚀剂组合物
如同实施例1,客体-主体体系基于在纳米颗粒上的带负电主体位点和在配体上的带正电客体位点之间的静电相互作用。配体可以包括与碳水化合物主链相连的伯胺基或仲氨基。在暴露于电磁辐射或电子束后产生的电子可以造成带正电客体位点的去结合。二次电子的能量通过第一键的断裂降低,因此优选的是使在相同纳米颗粒上而不是在另一完全键合的纳米颗粒上的客体-主体键断裂。这使去结合事件局部化,并且造成纳米颗粒的成簇。配体可以包括可热裂解基团,所述可热裂解基团在烘烤抗蚀剂时可以断裂以进一步降低溶解度并且促使成簇。另外,可以通过在显影剂溶液中具有大浓度的一价主体配体来提高未曝光区域的溶解度。
实施例3-基于键断裂的正性抗蚀剂组合物
以与实施例2类似的方式,二次电子的产生可以导致主体-客体键的断裂。备选地,二次电子可以使配体本身断裂。进而,这将使未键合区域溶解于显影剂溶液中。可以通过使用具有高浓度的一价配体的显影剂溶液来增强在未曝光区域中的多价主体-客体键的去结合。配体可以包括可热裂解基团,所述可热裂解基团在烘烤抗蚀剂时可以断裂以进一步提高溶解度。
尽管上文已经描述了本发明的具体实施方案,但是将了解,本发明的可以以不同于所描述方式的其他方式来实施。尽管在详述和实施例中已经提到纳米颗粒,但是在本发明中同样能够使用纳米簇。类似地,尽管在详述和实施例中已经提到配体,但是在本发明中同样能够使用有机连接体。
以上描述旨在是说明性的而不是限制性的。因此,对于本领域技术人员来说,将明显的是,在不背离权利要求的范围的情况下,可以对所描述的本发明进行修改。
本发明依赖于多价来控制在将抗蚀剂组合物暴露于电磁辐射(如EUV)或电子束时产生的二次电子。多价纳米颗粒和/或纳米簇以及配体和/或有机连接体的使用减少了由二次电子的扩散造成的模糊,并且以更受控的方式将纳米颗粒和/或纳米簇相对于彼此布置。与在已知化学放大抗蚀剂中的碳相比,本发明还使得金属氧化物纳米颗粒和/或纳米簇的改善的吸收截面与所产生二次电子的数量增加平衡。本发明使得能够生产正性抗蚀剂和负性抗蚀剂两者,其相对于已知抗蚀剂具有有利的性质。

Claims (23)

1.一种抗蚀剂组合物,所述抗蚀剂组合物包含:
a)含金属的纳米颗粒和/或纳米簇,和
b)配体和/或有机连接体,
其中组分a)或b)中的一种或两者是多价的。
2.根据权利要求1所述的抗蚀剂组合物,其中所述抗蚀剂组合物是负性抗蚀剂或正性抗蚀剂。
3.根据权利要求1或2所述的抗蚀剂组合物,其中
i)所述抗蚀剂组合物是负性抗蚀剂,并且所述纳米颗粒和/或纳米簇在暴露于电磁辐射或电子束后所述配体和/或有机连接体交联时成簇;或
ii)所述抗蚀剂组合物是负性抗蚀剂,并且所述配体和/或有机连接体是交联的,并且交联键在暴露于电磁辐射或电子束时断裂,使所述纳米颗粒和/或纳米簇成簇到一起;或
iii)所述抗蚀剂组合物是正性抗蚀剂,并且所述配体和/或有机连接体是交联的,并且交联键在暴露于电磁辐射或电子束时断裂。
4.根据任一前述权利要求所述的抗蚀剂组合物,其中所述含金属的纳米颗粒和/或纳米簇是金属氧化物纳米颗粒和/或纳米簇。
5.根据任一前述权利要求所述的抗蚀剂组合物,其中所述金属选自一种或多种碱金属、碱土金属、过渡金属、镧系元素、锕系元素或后过渡金属。
6.根据任一前述权利要求所述的抗蚀剂组合物,其中所述含金属的纳米颗粒和/或纳米簇包括氧化锡和/或氧化铪。
7.根据任一前述权利要求所述的抗蚀剂组合物,其中所述纳米颗粒和/或纳米簇的总横向尺寸为约0.1nm至约10nm,优选约0.5nm至约5nm,并且最优选约0.7nm至约1nm。
8.根据任一前述权利要求所述的抗蚀剂组合物,其中所述纳米颗粒和/或纳米簇的高度为约0.1nm至约10nm,优选约0.5nm至约5nm,并且最优选约2nm。
9.根据任一前述权利要求所述的抗蚀剂组合物,其中所述含金属的纳米颗粒和/或纳米簇包括多个客体位点、主体位点、或者客体位点和主体位点两者。
10.根据任一前述权利要求所述的抗蚀剂组合物,其中所述配体和/或有机连接体包括多个客体位点、主体位点、或者客体位点和主体位点两者。
11.根据权利要求9或10中任一项所述的抗蚀剂组合物,其中所述主体位点包括一个或多个选自伯铵基团、仲铵基团、叔铵基团、季铵基团、胺氧化物、碳正离子或肽的主体基团,和/或其中所述客体位点包括一个或多个选自DNA碱基对、肽或所述纳米颗粒和/或纳米簇的带电表面区域的客体基团。
12.根据任一前述权利要求所述的抗蚀剂组合物,其中所述配体和/或有机连接体包括连接体部分,优选地其中所述连接体部分选自聚(环乙亚胺)、聚(乙二醇)、聚(甲醛)、聚(丙烯酰胺)、聚(乙烯醇)或聚(丙烯酸)中的一种或多种,或任何合适的烃连接体。
13.根据任一前述权利要求所述的抗蚀剂组合物,其中所述配体和/或有机连接体包括一个或多个可裂解基团和/或一个或多个可固化基团。
14.根据权利要求13所述的抗蚀剂组合物,其中所述一个或多个可裂解基团选自酯季铵盐、碳酸酯、肽、氨基甲酸酯、甘菊环、螺吡喃、偶氮苯、紫罗碱、酰胺、二硒化物、二硫化物、缩醛、三硫代碳酸盐、碳酸盐、缩酮、酯、原酸酯、亚胺、腙、半缩醛酯、烯烃、硫醇-烯、酮、烯醇、对光不稳定基团、二烯或链烯烃。
15.根据任一前述权利要求所述的抗蚀剂组合物,其中所述组合物的溶解度在暴露于电磁辐射或电子束之后改变。
16.根据任一前述权利要求所述的抗蚀剂组合物,其中在暴露于电磁辐射或电子束时,在第一纳米颗粒和/或纳米簇上或在包围第一纳米颗粒和/或纳米簇的配体和/或有机连接体上的客体位点与在第二纳米颗粒和/或纳米簇上或在包围第二纳米颗粒和/或纳米簇的配体和/或有机连接体上的主体位点之间形成键,其中所述键的形成使得在能量方面更有利于在所述第一和/或第二纳米颗粒和/或纳米簇,或包围所述第一和/或第二纳米颗粒和/或纳米簇的配体和/或有机连接体,与其他纳米颗粒和/或纳米簇、和/或配体和/或有机连接体之间形成键。
17.根据权利要求16所述的抗蚀剂组合物,其中在所述配体和/或有机连接体之间的客体-主体键的形成使所述纳米颗粒和/或纳米簇成簇,由此降低暴露于所述电磁辐射或所述电子束的区域在显影剂中的溶解度。
18.根据权利要求1至15中任一项所述的抗蚀剂组合物,其中在第一多个配体和/或有机连接体上的客体位点以及在第二多个配体和/或有机连接体上的主体位点形成通过客体-主体键保持在一起的配体和/或有机连接体的基体,其中在暴露于电磁辐射或电子束时,所述客体-主体键断裂,并且相比于包围其他其相关联的配体和/或有机连接体尚未使其客体-主体键断裂的纳米颗粒和/或纳米簇的配体和/或有机连接体之间的键断裂,所述客体-主体键的断裂在能量方面更有利于包围与其客体-主体键已经断裂的配体和/或有机连接体相关联的所述含金属的纳米颗粒和/或纳米簇的配体和/或有机连接体之间的键断裂。
19.根据权利要求18所述的抗蚀剂组合物,其中所述配体和/或有机连接体之间的客体-主体键的断裂改变键断裂发生的区域在显影剂中的溶解度。
20.一种生产半导体的方法,所述方法包括:将包含以下各项的抗蚀剂组合物涂敷到半导体基底上:
a)含金属的纳米颗粒和/或纳米簇,和
b)配体和/或有机连接体,
其中a)或b)中的一种或两者是多价的;将所述抗蚀剂暴露于电磁辐射或电子束;和使所述抗蚀剂显影。
21.根据权利要求20所述的方法,其中所述抗蚀剂组合物是根据权利要求1至19中任一项所述的组合物。
22.根据权利要求20或21所述的方法,其中所述电磁辐射是EUV。
23.根据权利要求20、21或22中任一项所述的方法,其中所述方法还包括烘烤所述半导体基底,优选地烘烤在电磁辐射或电子束曝光之后进行。
CN201780030963.8A 2016-05-19 2017-04-21 抗蚀剂组合物 Active CN109154772B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16170399 2016-05-19
EP16170399.6 2016-05-19
PCT/EP2017/059475 WO2017198418A1 (en) 2016-05-19 2017-04-21 Resist compositions

Publications (2)

Publication Number Publication Date
CN109154772A true CN109154772A (zh) 2019-01-04
CN109154772B CN109154772B (zh) 2023-11-07

Family

ID=56026707

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780030963.8A Active CN109154772B (zh) 2016-05-19 2017-04-21 抗蚀剂组合物

Country Status (7)

Country Link
US (1) US20190129301A1 (zh)
JP (1) JP2019517025A (zh)
KR (2) KR20230031989A (zh)
CN (1) CN109154772B (zh)
NL (1) NL2018760A (zh)
TW (1) TWI746552B (zh)
WO (1) WO2017198418A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111766762A (zh) * 2020-06-24 2020-10-13 清华大学 光刻胶组合物及用它形成薄膜图案和阵列基板的方法
CN111948904A (zh) * 2020-08-13 2020-11-17 清华大学 光刻胶组合物、用它形成光刻图案的方法及其用途

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP2022542170A (ja) 2019-08-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド パターニングされた金属酸化物フォトレジストの線量減少
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102019133965A1 (de) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
KR20220100594A (ko) * 2019-11-15 2022-07-15 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 방법
US11934101B2 (en) * 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR20220143639A (ko) * 2020-02-19 2022-10-25 제이에스알 가부시끼가이샤 레지스트 패턴의 형성 방법 및 감방사선성 수지 조성물
KR20210152291A (ko) * 2020-06-08 2021-12-15 삼성전자주식회사 포토레지스트 조성물
KR102628581B1 (ko) * 2020-08-07 2024-01-25 성균관대학교산학협력단 포토레지스트 조성물 및 포토리소그래피 공정
WO2024002578A1 (en) * 2022-06-27 2024-01-04 Asml Netherlands B.V. Material, method and apparatus for forming a patterned layer of 2d material

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1510861A1 (en) * 2003-08-26 2005-03-02 Sony International (Europe) GmbH Method for patterning organic materials or combinations of organic and inorganic materials
WO2009110166A1 (ja) * 2008-03-06 2009-09-11 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
US20120288479A1 (en) * 2011-05-13 2012-11-15 Clarkson University Cross-Linked Polymer Based Hydrogel Material Compositions, Methods and Applications
US20150079393A1 (en) * 2013-09-13 2015-03-19 The Research Foundation For The State University Of New York Molecular Organometallic Resists for EUV
CN104781262A (zh) * 2012-12-07 2015-07-15 Az电子材料卢森堡有限公司 稳定的金属化合物、它们的组合物以及它们的使用方法
US20150234272A1 (en) * 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
CN105579906A (zh) * 2013-08-22 2016-05-11 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110232717A1 (en) * 2010-02-18 2011-09-29 OneSun, LLC Semiconductors compositions for dye-sensitized solar cells
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP6195552B2 (ja) * 2014-02-21 2017-09-13 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、及び、パターン形成方法、並びに、これらを用いた電子デバイスの製造方法
JP6496746B2 (ja) * 2014-10-08 2019-04-03 富士フイルム株式会社 感活性光線性又は感放射線性組成物、並びに、これを用いた、レジスト膜、マスクブランクス、レジストパターン形成方法、及び、電子デバイスの製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1510861A1 (en) * 2003-08-26 2005-03-02 Sony International (Europe) GmbH Method for patterning organic materials or combinations of organic and inorganic materials
WO2009110166A1 (ja) * 2008-03-06 2009-09-11 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
US20120288479A1 (en) * 2011-05-13 2012-11-15 Clarkson University Cross-Linked Polymer Based Hydrogel Material Compositions, Methods and Applications
CN104781262A (zh) * 2012-12-07 2015-07-15 Az电子材料卢森堡有限公司 稳定的金属化合物、它们的组合物以及它们的使用方法
CN105579906A (zh) * 2013-08-22 2016-05-11 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物
US20150079393A1 (en) * 2013-09-13 2015-03-19 The Research Foundation For The State University Of New York Molecular Organometallic Resists for EUV
US20150234272A1 (en) * 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111766762A (zh) * 2020-06-24 2020-10-13 清华大学 光刻胶组合物及用它形成薄膜图案和阵列基板的方法
WO2021259092A1 (zh) * 2020-06-24 2021-12-30 无锡华睿芯材科技有限公司 光刻胶组合物及用它形成薄膜图案和阵列基板的方法
CN111948904A (zh) * 2020-08-13 2020-11-17 清华大学 光刻胶组合物、用它形成光刻图案的方法及其用途
CN111948904B (zh) * 2020-08-13 2022-04-01 常州华睿芯材科技有限公司 光刻胶组合物、用它形成光刻图案的方法及其用途

Also Published As

Publication number Publication date
JP2019517025A (ja) 2019-06-20
CN109154772B (zh) 2023-11-07
TWI746552B (zh) 2021-11-21
TW201820031A (zh) 2018-06-01
KR20190010618A (ko) 2019-01-30
US20190129301A1 (en) 2019-05-02
KR20230031989A (ko) 2023-03-07
WO2017198418A1 (en) 2017-11-23
NL2018760A (en) 2017-11-23

Similar Documents

Publication Publication Date Title
CN109154772A (zh) 抗蚀剂组合物
US11415886B2 (en) Lithographic patterning process and resists to use therein
CN105164789B (zh) 抗蚀剂图案形成方法、抗蚀剂潜像形成装置、抗蚀剂图案形成装置和抗蚀剂材料
CN102047151B (zh) 辐射系统、辐射收集器、辐射束调节系统、用于辐射系统的光谱纯度滤光片以及用于形成光谱纯度滤光片的方法
WO2005097725A1 (ja) カリックスレゾルシナレン化合物、フォトレジスト基材及びその組成物
CN1495532A (zh) 光刻投射装置及用于所述装置中的反射器组件
TW201142372A (en) Spectral purity filter
US20080076887A1 (en) Process for production of molecular devices
WO2021099051A1 (en) Resist compositions
CN113359384A (zh) 极紫外线微影方法、极紫外线遮罩及其形成方法
EP4095604A1 (en) Hybrid photoresist composition for extreme ultraviolet photolithography applications
JP3981720B2 (ja) 分子デバイスの製造方法
TW201122729A (en) Actinic ray-sensitive or radiation-sensitive resin composition, film formed using the composition and pattern forming method using the same
WO2023156297A1 (en) Resist compositions
US11914301B2 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20050058933A1 (en) Quantum efficient photoacid generators for photolithographic processes
US20050158654A1 (en) Reducing outgassing of reactive material upon exposure of photolithography resists
CN113219786A (zh) 光刻方法和制造半导体器件的方法
JP2007069342A (ja) 分子デバイスの製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant