WO2021099051A1 - Resist compositions - Google Patents

Resist compositions Download PDF

Info

Publication number
WO2021099051A1
WO2021099051A1 PCT/EP2020/079531 EP2020079531W WO2021099051A1 WO 2021099051 A1 WO2021099051 A1 WO 2021099051A1 EP 2020079531 W EP2020079531 W EP 2020079531W WO 2021099051 A1 WO2021099051 A1 WO 2021099051A1
Authority
WO
WIPO (PCT)
Prior art keywords
polymer
resist composition
euv
resist
composition according
Prior art date
Application number
PCT/EP2020/079531
Other languages
French (fr)
Inventor
Qinghuang Lin
Ruben Cornelis MAAS
Sander Frederik WUISTER
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Priority to CN202080079572.7A priority Critical patent/CN114830031A/en
Priority to KR1020227017175A priority patent/KR20220104708A/en
Publication of WO2021099051A1 publication Critical patent/WO2021099051A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor

Definitions

  • the present invention relates to resist compositions for use in lithography and a method of producing a semiconductor using such resist compositions.
  • the present invention relates to resist compositions for use in EUV lithography.
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate.
  • a patterning device e.g. a mask
  • a layer of radiation-sensitive material resist
  • the wavelength of radiation used by a lithographic apparatus to project a pattern onto a substrate determines the minimum size of features which can be formed on that substrate.
  • a lithographic apparatus which uses EUV radiation being electromagnetic radiation having a wavelength within the range 4-20 nm, may be used to form smaller features on a substrate than a conventional lithographic apparatus (which may for example use electromagnetic radiation with a wavelength of 193 nm).
  • CAR chemically amplified resists
  • PAG photo-acid generators
  • the metal oxide nanoparticles have larger EUV absorption cross-sections than carbon atoms in CAR and thus there is a greater likelihood of EUV photons being absorbed. Therefore, a less intense beam requiring less power or a shorter exposure to the EUV photons is required. Furthermore, the different conversion mechanism has potentially lower chemical noise than CAR resist systems.
  • the present application generally refers to EUV lithography throughout, the invention is not limited to solely EUV lithography and it is appreciated that the subject matter of the present invention may be used in resists for photolithography using electromagnetic radiation with a frequency above or below that of EUV, or in any other type of lithography, such as electron beam lithography.
  • a polymer for use as a resist in the fabrication of integrated circuits wherein the polymer has the structure: wherein n is an integer, A is a polymer chain sub-unit and X is an EUV-sensitive photo-cleavable chemical linkage.
  • the polymer chain sub unit may be a single monomer, or could be a chain comprising two or more monomers.
  • the polymer chain sub-unit may comprise one or more of an aliphatic, an aromatic, a linear, or a cyclic hydrocarbon moiety.
  • the polymer chain sub-unit may comprise an element having a greater EUV atomic absorption cross-section than carbon, preferably greater than oxygen.
  • an element having a greater EUV atomic absorption cross-section it is possible to control where incident EUV radiation is most likely to be absorbed in the polymer.
  • the absorption of EUV radiation causes bond-scission. Therefore, by controlling the portion of the polymer which is most likely to absorb the EUV radiation and also providing an EUV-sensitive photo-cleavable chemical linkage in the polymer, it is possible to control how the polymer is most likely to break down upon exposure to EUV radiation.
  • the polymer chain sub-unit may comprise a metal.
  • the metal may be selected from Sn, Sb, Zr, Hf, In, and Pb. Metals have a large EUV atomic absorption cross-section and are therefore eminently suitable as EUV radiation absorption moieties.
  • the EUV-sensitive photo-cleavable chemical linkage may be selected from an ester, an ether, a sulphide (thioether), or the like. These chemical linkages are able to be incorporated into a polymer chain and include bonds which are readily cleaved. As such, these linkages act as weak points in the polymer chain and allow the chain to break down into chains of specific length.
  • the polymer may comprise: wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen.
  • N is an integer, for example, from 1 to 10. It will be appreciated that n may be larger than 10.
  • the sub-units having n repeating units may be connected via a weak linkage. As such, upon exposure to EUV radiation, the weak linkages preferentially break to release the sub-units having n repeating units.
  • the photo-cleavable chemical linkage may be selected from an ester, an ether, a sulphide (thioether), or the like.
  • the polymer may be: wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen.
  • the photo-cleavable chemical linkage namely the ester group, alternates with the group comprising the EUV absorption moiety. It will be appreciated that in embodiments, the ester group is repeated at intervals with two or more of the group comprising the EUV absorption moiety in between.
  • the polymer may be: wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen.
  • the photo-cleavable chemical linkage namely the ether group, alternates with the group comprising the EUV absorption moiety.
  • the ether group is repeated at intervals with two or more of the group comprising the EUV absorption moiety in between [00017]
  • the polymer may be: wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen.
  • the photo-cleavable chemical linkage namely the sulphide (thioether) group
  • the group comprising the EUV absorption moiety alternates with the group comprising the EUV absorption moiety.
  • the sulphide (thioether) group is repeated at intervals with two or more of the group comprising the EUV absorption moiety in between.
  • these polymers include a terminal group.
  • the polymer is not limited to any particular terminal group.
  • the terminal group may be CF 3 .
  • Mixtures of one or more of these polymers may be used, although it is preferable to use a single polymer.
  • a non-chemically amplified resist composition comprising a polymer having at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation.
  • Resist compositions generally comprise chemically amplified resists or non-chemically amplified resists, such as spin-on metal-oxide resists. Both of these types of resist composition suffer from disadvantages.
  • CARs chemically amplified resists
  • chemical noise causes roughness and limits the size of the features which can be realised.
  • the noise is inherent in the mechanism of action of CARs since the mechanism is based on acid groups generated from the PAGs which diffuse through the resist before reacting.
  • the acid groups generated from the photo-acid generators (PAGs) of the chemically amplified resists diffuse within the resist to outside the portion of the resist which has been exposed to the electromagnetic radiation and this contributes to blurring.
  • the ultimate location where the reaction causing a change in the solubility of the resist in a developer takes place is not only limited to the area on which the EUV photons (or any other electromagnetic radiation being used) are incident on the resist.
  • the acids and quenchers of the resist are randomly dispersed. In small features, the absolute number of acids and quenchers is limited, which results in Poisson noise.
  • pattern collapse becomes an issue at low critical dimensions as a result of the blur caused by the nature of the CAR system.
  • alternative resist platforms are required. In cases where high doses are required, it is necessary for the resist to be exposed to the electromagnetic radiation source for a longer period of time. As such, the number of chips which can be produced by a single machine in a given time period is reduced.
  • Resists based on metal oxide nanoparticle systems also have drawbacks. Even though the metal oxide nanoparticle systems have greater EUV absorption than CAR systems, there remains a trade-off between efficiency and blur; in systems with high conversion efficiency, i.e. a high number of electrons produced by the incident EUV photons, a single photon may generate a number of secondary electrons. As with CAR systems, these electrons may travel through the system before causing chemical reactions leading to the removal of ligands, and this diffusion of electrons results in high blur. The radius of the metal oxide nanoparticles is typically around 0.3 to 1.0 nm, whereas the electrons created by the absorption of the EUV photons can diffuse by a few nanometres.
  • the resist composition may comprise a plurality of polymers as described herein, the polymers preferably being substantially identical. It will be appreciated that other polymers may be included if required.
  • the non-chemically amplified resist composition may be organic.
  • Polymers are generally organic in nature and it is possible to use such organic polymers to form the resist compositions according to the present invention.
  • the resist composition is preferably a single component resist material.
  • the resist composition is preferably an EUV resist composition, although it may also be a resist composition used with shorter wavelengths.
  • the resist composition of the present invention addresses these issues by providing a single component resist material.
  • the resist composition comprises a uniform EUV resist material, so any statistical distributions are minimized. Since there are fewer components to add to any statistical variations, the resist composition of the present invention displays lower resist stochastics.
  • the resists composition of the present invention is substantially or completely free from photoacid generators and/or base quenchers.
  • the resist composition may comprise a polymer having a substantially uniform molecular weight.
  • the molecular weight of the polymer comprising the resist material is non-uniform. As such, there is a relatively broad spread of molecular weights of the polymers and so the resist material is not uniform. By having polymer chains of substantially the same molecular weight, the resist composition is more uniform and there are fewer stochastic effects.
  • the polymer may include a light cleavable chemical linkage at regular intervals along the length of the polymer. As such, there may be a light cleavable chemical linkage every n th sub-unit, wherein n is an integer.
  • the light cleavable chemical linkages may be at integer intervals, for example, every other, every second, every third etc, sub-unit. For example, there may be a light cleavable chemical linkage between every group of five monomers (sub-units).
  • the chemical linkages are configured to preferentially break compared to the other portions of the polymer chain, upon exposure to electromagnetic radiation, which may be EUV radiation, the resist composition will preferentially break down into smaller chains of length five. Whereas previous polymer-based resists would break down into chains of various lengths having a broad length or weight distribution, the breakdown products of the polymer of the resist composition according to the present invention have a narrow size distribution due to the inclusion of the scission portions.
  • the polymer may comprise from 10 to 30 sub-units (repeating units). It will be appreciated that longer or shorter polymer chains may be used.
  • a sub-unit may comprise a single monomer or more than one monomer.
  • a sub-unit may comprise 1 to 10 monomers. It will be appreciated that a sub-unit may comprise more than 10 monomers.
  • the polymer may comprise an EUV absorbing moiety.
  • the resist composition is eminently suitable as an EUV resist, it is preferable to configure the polymers to include a portion which readily absorbs EUV radiation.
  • EUV radiation In existing CARs, it is generally the carbon backbone of the polymer which absorbs the EUV radiation.
  • carbon is able to absorb EUV radiation, it absorbs EUV radiation less readily than other moieties and so a higher power of EUV radiation is required, or a longer exposure.
  • the EUV radiation may be absorbed at any point along the polymer, so it is random as to where the polymer chain is broken. This is undesirable as it increases power requirements or reduces the throughput of the lithographic apparatus as well as also increasing the stochastic effects observed.
  • the EUV absorbing moiety may have an EUV absorption cross-section greater than that of carbon.
  • Oxygen is another common element in polymers and, again, this means that it is random as to where the polymer chain will break upon exposure to electromagnetic radiation, such as EUV radiation or radiation of even shorter wavelength.
  • the EUV absorbing moiety may have an EUV absorption cross- section greater than that of oxygen.
  • the resist material is a single component material, namely that the resist material comprises identical or substantially identical molecules
  • the polymers forming the resist material break down into the same base units and so the performance of the resist material when exposed to EUV radiation is more uniform and predictable.
  • the EUV absorbing moiety may comprise a metal. Metals generally have a larger EUV radiation absorption cross-section than carbon and oxygen.
  • the polymers comprising the resist material are configured to include such EUV absorbing moieties and one or more light cleavable chemical linkages, and also since the resist material comprises a single component EUV resist material, it is possible to have very predictable results upon exposure to EUV radiation. Without wishing to be bound by scientific theory, it is believed that this is the case since the statistical distributions caused by the inclusion of multiple components in previous resists have been eliminated by providing a resist material which only comprises identical base units.
  • the resist material of the present invention comprises a plurality of identical or substantially identical components, namely polymers comprising an EUV absorbing moiety and one or more light cleavable chemical linkages and which has a substantially uniform molecular weight, and so there are fewer components to result in any stochastic effects.
  • the metal may be selected from Sn, Sb, Zr, Hf, In, and Pb. Indeed any metal with a higher EUV absorption cross section greater than hafnium and which is not radioactive and/or reactive may be used. These particular metals have high EUV absorption cross-sections.
  • the metal in the metal oxide nanoparticles or nanoclusters may comprise one or more alkali metals, alkali earth metals, transition metals, lanthanides, actinides, or post-transition metals.
  • Post-transition metals are metals which are situated in the p-block of the periodic table.
  • the metal may be tin.
  • the metal may be hafnium.
  • metals generally have higher EUV absorption cross sections compared with carbon and so resists which comprise metals are relatively less transparent to EUV radiation than resists which rely on carbon to absorb the electromagnetic radiation. Tin and hafnium in particular exhibit good absorption of EUV radiation and show etch resistance.
  • the resist material may have a Dill B parameter of greater than around 6 pm 1 , optionally greater than around 10 pm 1 , optionally greater than around 15 pm 1 , optionally greater than around 20 pm 1 .
  • the resist composition may be substantially free from a photoacid generator. Since the composition of the present invention does not rely on the production of acidic species to alter the resist material, the presence of photoacid generators is not necessary. By eliminating the presence of photoacid generators, it is possible to avoid the inherent imprecision caused by the diffusion of acidic species. There may be no photoacid generators present in the composition.
  • the resist composition is substantially free from base additives or base quenchers.
  • Base additives or base quenchers serve to react with any excess acids generated. Again, since the present invention does not rely on the generation and reaction of acidic groups, any base additives or quenchers may be eliminated.
  • the composition may have a molar mass dispersity of from between 1 and around 1.2.
  • the composition may have a molar mass dispersity from between around 1 and 1.1.
  • the composition may have a molar mass dispersity from between around 1 and 1.05. It will be appreciated that in practice it is not possible to provide a material in which every constituent polymer is of exactly the same length. As such, it will be appreciated that there will inevitably be some differences in polymer chain length. Having a molar mass dispersity closer to one (with one indicating that all polymer chain lengths are the same, i.e. the standard deviation is zero) indicates that there is a tight distribution of molecular masses. As such, the resist as a whole has more uniform properties across its extent.
  • the resist composition may be configured to break down into soluble or volatile components upon exposure to EUV radiation.
  • the resist may be a positive resist.
  • the portions which are exposed are degraded or otherwise broken down to allow them to be removed and thereby form a pattern.
  • one of the breakdown products is volatile, such components are removed from the resist and there is no risk of the resist re-forming. Due to the presence of the EUV absorbing moieties and the scission portions, namely weak links in the polymer chain, the polymer is configured to break down into substantially uniform sub-units.
  • the resist material may comprise aliphatic, aromatic, linear or cyclic hydrocarbon moieties.
  • Polymers generally comprise a carbon backbone or a series of carbon units which connect to each other or other chemical moieties in order to provide a polymer chain.
  • the carbon-carbon bonds of the polymer backbone are strong, especially where they are part of an aromatic or cyclic chemical group, and so these are able to remain intact whilst the light cleavable chemical linkages are broken.
  • the light cleavable chemical linkages preferably comprise bonds which are weaker than carbon-carbon bonds.
  • the at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation may comprise a chemical bond which is weaker than the chemical bonds forming the backbone of the polymer. In this way, the weaker bonds are preferentially broken and it is possible to control the nature of the breakdown products of the polymer.
  • the one or more light cleavable chemical linkages may be selected from an ester, ether, sulphide (thioether), or similar groups. Where the chemical linkage comprises an ester, gaseous carbon dioxide may be produced upon scission of the chemical linkage thereby preventing the re formation of the polymer. Since the polymer comprising the resist material has been configured to have one or more locations at which cleavage of the polymer chain is more likely, the polymer will be more likely to be cleaved at such locations. This being the case, the products of the EUV radiation initiated breakdown of the polymer chains will be more consistent than previous resists relying on chemical amplification.
  • the resist composition is preferably suitable for use with EUV.
  • the resist composition is also suitable for use with photons having a higher or lower frequency than EUV.
  • the resist composition may also be suitable for use with electron-beam lithography.
  • the resist composition may be a photoresist composition.
  • a resist for fabrication of integrated circuits comprising the resist composition or polymer according to the first or second aspects of the present invention.
  • composition or polymer according to the first or second aspects in a process for fabrication of integrated circuits.
  • a lithographic method comprising the steps of: a) providing a resist composition or polymer according to the first or second aspects to a semiconductor substrate; b) exposing the resist composition or polymer to a patterned EUV light beam or an electron beam to form a pattern in the resist composition; and c) developing the resist or polymer to form a circuit pattern.
  • the electromagnetic radiation may be EUV.
  • the electromagnetic radiation may have a frequency greater or less than that of EUV.
  • the method of the fourth aspect of the present invention may also comprise a baking of the semiconductor substrate.
  • baking takes place after the electromagnetic radiation or electron beam exposure step.
  • Figure 1 depicts a lithographic system comprising a lithographic apparatus and a radiation source which may be used to irradiate the resist compositions of the present invention
  • Figure 2 is a schematic depiction of a generalized polymer being converted from an insoluble form to a soluble form upon exposure to EUV radiation;
  • Figure 3 is a schematic depiction of one example of an insoluble polymer being converted into a soluble form and releasing gas upon exposure to EUV radiation.
  • FIG. 1 shows a lithographic system which may be used to irradiate the resist compositions of the present invention.
  • the lithographic system comprises a radiation source SO and a lithographic apparatus LA.
  • the radiation source SO is configured to generate an extreme ultraviolet (EUV) radiation beam B.
  • the lithographic apparatus LA comprises an illumination system IL, a support structure MT configured to support a patterning device MA (e.g. a mask), a projection system PS and a substrate table WT configured to support a substrate W.
  • a layer of the resist composition according to an embodiment of the present invention is provided on the substrate W.
  • the illumination system IL is configured to condition the radiation beam B before it is incident upon the patterning device MA.
  • the projection system is configured to project the radiation beam B (now patterned by the mask MA) onto the substrate W.
  • the substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus aligns the patterned radiation beam B with a pattern previously formed on the substrate W.
  • the radiation source SO, illumination system IL, and projection system PS may all be constructed and arranged such that they can be isolated from the external environment.
  • a gas at a pressure below atmospheric pressure e.g. hydrogen
  • a vacuum may be provided in illumination system IL and/or the projection system PS.
  • a small amount of gas (e.g. hydrogen) at a pressure well below atmospheric pressure may be provided in the illumination system IL and/or the projection system PS.
  • the radiation source SO shown in Figure 1 is of a type which may be referred to as a laser produced plasma (LPP) source).
  • a laser 1 which may for example be a CO2 laser, is arranged to deposit energy via a laser beam 2 into a fuel, such as tin (Sn) which is provided from a fuel emitter 3.
  • tin is referred to in the following description, any suitable fuel may be used.
  • the fuel may for example be in liquid form, and may for example be a metal or alloy.
  • the fuel emitter 3 may comprise a nozzle configured to direct tin, e.g. in the form of droplets, along a trajectory towards a plasma formation region 4.
  • the laser beam 2 is incident upon the tin at the plasma formation region 4.
  • the deposition of laser energy into the tin creates a plasma 7 at the plasma formation region 4.
  • Radiation including EUV radiation, is emitted from the plasma 7 during de-excitation and recombination of ions of the plasma.
  • the EUV radiation is collected and focused by a near normal incidence radiation collector 5 (sometimes referred to more generally as a normal incidence radiation collector).
  • the collector 5 may have a multilayer structure which is arranged to reflect EUV radiation (e.g. EUV radiation having a desired wavelength such as 13.5 nm).
  • EUV radiation e.g. EUV radiation having a desired wavelength such as 13.5 nm.
  • the collector 5 may have an elliptical configuration, having two ellipse focal points. A first focal point may be at the plasma formation region 4, and a second focal point may be at an intermediate focus 6, as discussed below.
  • the laser 1 may be separated from the radiation source SO. Where this is the case, the laser beam 2 may be passed from the laser 1 to the radiation source SO with the aid of a beam delivery system (not shown) comprising, for example, suitable directing mirrors and/or a beam expander, and or other optics.
  • a beam delivery system (not shown) comprising, for example, suitable directing mirrors and/or a beam expander, and or other optics.
  • the laser 1 and the radiation source SO may together be considered to be a radiation system.
  • Radiation that is reflected by the collector 5 forms a radiation beam B.
  • the radiation beam B is focused at point 6 to form an image of the plasma formation region 4, which acts as a virtual radiation source for the illumination system IL.
  • the point 6 at which the radiation beam B is focused may be referred to as the intermediate focus.
  • the radiation source SO is arranged such that the intermediate focus 6 is located at or near to an opening 8 in an enclosing structure 9 of the radiation source.
  • the radiation beam B passes from the radiation source SO into the illumination system IL, which is configured to condition the radiation beam.
  • the illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11.
  • the faceted field mirror device 10 and faceted pupil mirror device 11 together provide the radiation beam B with a desired cross- sectional shape and a desired angular distribution.
  • the radiation beam B passes from the illumination system IL and is incident upon the patterning device MA held by the support structure MT.
  • the patterning device MA reflects and patterns the radiation beam B.
  • the illumination system IL may include other mirrors or devices in addition to or instead of the faceted field mirror device 10 and faceted pupil mirror device 11.
  • the patterned radiation beam B enters the projection system PS.
  • the projection system comprises a plurality of mirrors which are configured to project the radiation beam B onto a substrate W held by the substrate table WT.
  • the projection system PS may apply a reduction factor to the radiation beam, forming an image with features that are smaller than corresponding features on the patterning device MA.
  • a reduction factor of 4 may for example be applied.
  • the projection system PS has two mirrors in Figure 1, the projection system may include any number of mirrors (e.g. six mirrors).
  • the radiation sources SO shown in Figure 1 may include components which are not illustrated.
  • a spectral filter may be provided in the radiation source.
  • the spectral filter may be substantially transmissive for EUV radiation but substantially blocking for other wavelengths of radiation such as infrared radiation.
  • EUV radiation may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13-14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 4-10 nm such as 6.7 nm or 6.8 nm.
  • Figure 1 depicts the radiation source SO as a laser produced plasma LPP source
  • any suitable source may be used to generate EUV radiation.
  • EUV emitting plasma may be produced by using an electrical discharge to convert fuel (e.g. tin) to a plasma state.
  • a radiation source of this type may be referred to as a discharge produced plasma (DPP) source.
  • the electrical discharge may be generated by a power supply which may form part of the radiation source or may be a separate entity that is connected via an electrical connection to the radiation source SO.
  • Figure 2 depicts a mechanism of action of polymer chain scission in a resist.
  • the polymer comprises a unit A which includes an EUV absorption moiety connected via an EUV sensitive linkage X.
  • the chemical bond or linkage between individual monomers is weaker than the bonds within the monomers and is therefore preferentially broken upon EUV exposure.
  • the polymer breaks down into smaller molecules which can then be removed by a developer or by vaporisation. In this way, the solubility of the resist material is switched. Due to the uniform chain structure and the identical chain length of the reaction products, any possible chemical or material related noise is eliminated.
  • Figure 3 depicts the mechanism of action of an exemplary polymer comprising a resist material.
  • the insoluble polymer comprises a number of monomeric units which include an EUV absorption moiety Z.
  • the EUV absorption moiety has an atomic absorption cross-section greater than carbon and preferably greater than oxygen.
  • incident EUV radiation is preferably absorbed by the moiety Z and the bond between the cyclic hydrocarbon and the ester group is broken. This irreversibly releases carbon dioxide and renders the resist soluble.
  • the present invention relies upon the provision of portions within a polymer which are more likely to absorb incident EUV radiation than other portions as well as weak links within the polymer which preferentially break upon exposure to EUV radiation.
  • portions within a polymer which are more likely to absorb incident EUV radiation than other portions as well as weak links within the polymer which preferentially break upon exposure to EUV radiation.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

There is provided a polymer for use as a resist in the fabrication of integrated circuits. There is also provided a non-chemically amplified resist composition comprising a polymer having at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation. Also provided is the use of such resist compositions or polymers as well as a lithographic method incorporating such compositions or polymers.

Description

RESIST COMPOSITIONS
CROSS-REFERENCE TO RELATED APPLICATION
[0001] The application claims priority of U.S. provisional patent application 62/938,260, which was filed on November 20, 2019 and U.S. provisional patent application 62/944,996, which was filed on December 06, 2019 which are incorporated herein in its entirety by reference.
FIELD
[0002] The present invention relates to resist compositions for use in lithography and a method of producing a semiconductor using such resist compositions. In particular, the present invention relates to resist compositions for use in EUV lithography.
BACKGROUND
[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate.
[0004] The wavelength of radiation used by a lithographic apparatus to project a pattern onto a substrate determines the minimum size of features which can be formed on that substrate. A lithographic apparatus which uses EUV radiation, being electromagnetic radiation having a wavelength within the range 4-20 nm, may be used to form smaller features on a substrate than a conventional lithographic apparatus (which may for example use electromagnetic radiation with a wavelength of 193 nm).
[0005] Known resists suitable for use with lithography are referred to as chemically amplified resists (CAR) and are based on polymers. Upon expose to electromagnetic radiation or an electron beam, the polymers in the CAR absorb photons or interact with electrons, and secondary electrons are generated. The generation of secondary electrons is how a high-energy photon or electron loses most of its energy. The secondary electrons in the resist diffuse and may generate further secondary electrons with lower energies until the energy of the secondary electrons is lower than that required to break bonds in the CAR or result in ionisation. The electrons generated excite photo-acid generators (PAG) which subsequently decompose and can catalyse a deblocking reaction, which leads to a change in the solubility of the CAR.
[0006] Alternative resist systems for use with lithography, in particular EUV lithography, comprising metal oxide nanoclusters have been investigated to try to address the issues with CARs. These alternative resist systems comprise metal oxide nanoparticles or nanoclusters which are prevented from clustering together by a ligand shell. Upon EUV exposure, photons are absorbed by the nanoparticles or nanoclusters and this leads to the generation of secondary electrons. The electrons break the bonds between the ligands and the nanoparticles or nanoclusters. This allows the nanoparticles or nanoclusters to cluster together and hence changes the solubility of the resist. The metal oxide nanoparticles have larger EUV absorption cross-sections than carbon atoms in CAR and thus there is a greater likelihood of EUV photons being absorbed. Therefore, a less intense beam requiring less power or a shorter exposure to the EUV photons is required. Furthermore, the different conversion mechanism has potentially lower chemical noise than CAR resist systems.
[0007] Whilst the present application generally refers to EUV lithography throughout, the invention is not limited to solely EUV lithography and it is appreciated that the subject matter of the present invention may be used in resists for photolithography using electromagnetic radiation with a frequency above or below that of EUV, or in any other type of lithography, such as electron beam lithography.
SUMMARY
[0008] According to a first aspect of the present invention, there is provided a polymer for use as a resist in the fabrication of integrated circuits, wherein the polymer has the structure:
Figure imgf000003_0001
wherein n is an integer, A is a polymer chain sub-unit and X is an EUV-sensitive photo-cleavable chemical linkage.
[0009] It will be appreciated that the presence of an EUV-sensitive photo-cleavable chemical linkage causes the polymer to preferentially break at this chemical linkage. The polymer chain sub unit may be a single monomer, or could be a chain comprising two or more monomers.
[00010] The polymer chain sub-unit may comprise one or more of an aliphatic, an aromatic, a linear, or a cyclic hydrocarbon moiety.
[00011] The polymer chain sub-unit may comprise an element having a greater EUV atomic absorption cross-section than carbon, preferably greater than oxygen. By including an element having a greater EUV atomic absorption cross-section, it is possible to control where incident EUV radiation is most likely to be absorbed in the polymer. The absorption of EUV radiation causes bond-scission. Therefore, by controlling the portion of the polymer which is most likely to absorb the EUV radiation and also providing an EUV-sensitive photo-cleavable chemical linkage in the polymer, it is possible to control how the polymer is most likely to break down upon exposure to EUV radiation. Since the backbone of the polymer is most likely made from carbon, it is preferable for the EUV- absorption portion of the polymer to comprise an element which is more likely to absorb EUV radiation. [00012] The polymer chain sub-unit may comprise a metal. The metal may be selected from Sn, Sb, Zr, Hf, In, and Pb. Metals have a large EUV atomic absorption cross-section and are therefore eminently suitable as EUV radiation absorption moieties.
[00013] The EUV-sensitive photo-cleavable chemical linkage may be selected from an ester, an ether, a sulphide (thioether), or the like. These chemical linkages are able to be incorporated into a polymer chain and include bonds which are readily cleaved. As such, these linkages act as weak points in the polymer chain and allow the chain to break down into chains of specific length.
[00014] The polymer may comprise:
Figure imgf000004_0001
wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen. N is an integer, for example, from 1 to 10. It will be appreciated that n may be larger than 10. The sub-units having n repeating units may be connected via a weak linkage. As such, upon exposure to EUV radiation, the weak linkages preferentially break to release the sub-units having n repeating units. The photo-cleavable chemical linkage may be selected from an ester, an ether, a sulphide (thioether), or the like.
[00015] The polymer may be:
Figure imgf000004_0002
wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen. In this example, the photo-cleavable chemical linkage, namely the ester group, alternates with the group comprising the EUV absorption moiety. It will be appreciated that in embodiments, the ester group is repeated at intervals with two or more of the group comprising the EUV absorption moiety in between.
[00016] The polymer may be:
Figure imgf000005_0001
wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen. In this example, the photo-cleavable chemical linkage, namely the ether group, alternates with the group comprising the EUV absorption moiety. It will be appreciated that in embodiments, the ether group is repeated at intervals with two or more of the group comprising the EUV absorption moiety in between [00017] The polymer may be:
Figure imgf000005_0002
wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen. In this example, the photo-cleavable chemical linkage, namely the sulphide (thioether) group, alternates with the group comprising the EUV absorption moiety. It will be appreciated that in embodiments, the sulphide (thioether) group is repeated at intervals with two or more of the group comprising the EUV absorption moiety in between.
[00018] It will be appreciated that these polymers include a terminal group. The polymer is not limited to any particular terminal group. The terminal group may be CF3.
[00019] Mixtures of one or more of these polymers may be used, although it is preferable to use a single polymer.
[00020] According to a second aspect of the present invention, there is provided a non-chemically amplified resist composition comprising a polymer having at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation.
[00021] Resist compositions generally comprise chemically amplified resists or non-chemically amplified resists, such as spin-on metal-oxide resists. Both of these types of resist composition suffer from disadvantages.
[00022] In chemically amplified resists (CARs), there is substantial chemical noise which results from the mechanism of action of CARs, mainly from acid and quencher noise. The chemical noise causes roughness and limits the size of the features which can be realised. In particular, the noise is inherent in the mechanism of action of CARs since the mechanism is based on acid groups generated from the PAGs which diffuse through the resist before reacting. The acid groups generated from the photo-acid generators (PAGs) of the chemically amplified resists diffuse within the resist to outside the portion of the resist which has been exposed to the electromagnetic radiation and this contributes to blurring. As such, the ultimate location where the reaction causing a change in the solubility of the resist in a developer takes place is not only limited to the area on which the EUV photons (or any other electromagnetic radiation being used) are incident on the resist. Furthermore, the acids and quenchers of the resist are randomly dispersed. In small features, the absolute number of acids and quenchers is limited, which results in Poisson noise. In addition, with CAR systems, pattern collapse becomes an issue at low critical dimensions as a result of the blur caused by the nature of the CAR system. Furthermore, with the size of the features desired to be produced shrinking, alternative resist platforms are required. In cases where high doses are required, it is necessary for the resist to be exposed to the electromagnetic radiation source for a longer period of time. As such, the number of chips which can be produced by a single machine in a given time period is reduced.
[00023] Resists based on metal oxide nanoparticle systems also have drawbacks. Even though the metal oxide nanoparticle systems have greater EUV absorption than CAR systems, there remains a trade-off between efficiency and blur; in systems with high conversion efficiency, i.e. a high number of electrons produced by the incident EUV photons, a single photon may generate a number of secondary electrons. As with CAR systems, these electrons may travel through the system before causing chemical reactions leading to the removal of ligands, and this diffusion of electrons results in high blur. The radius of the metal oxide nanoparticles is typically around 0.3 to 1.0 nm, whereas the electrons created by the absorption of the EUV photons can diffuse by a few nanometres. As such, electrons may diffuse towards particles which neighbour the particle which absorbed the EUV photon, and may break the bond between such neighbouring particle and a ligand bonded to such neighbouring particle. This can lead to blur and hence large local critical dimension uniformity (LCDU) values, both of which are undesirable.
[00024] As such both CARs and MORs suffer from detrimental stochastic effects, which result in increased local critical dimension variation, due to statistical distributions of the very basic units of the resist materials, in particular the distribution of photo-acid generators within a polymer matrix. [00025] The present invention has been made in consideration of the aforementioned problems with known resists, in particular with EUV resists. By providing a polymer having weak links in the polymer chain, it is these weak links which preferentially break when the resist is exposed to electromagnetic radiation, in particular EUV radiation or radiation of even shorter wavelength. Since there are portions within the polymer chain which are more readily broken, this has the advantage that the polymer chain is broken down into sub-units which are of substantially the same molecular weight. When the resist is ultimately developed, these shorter sub-units dissolve more readily in the developer solution than the original, unbroken polymers. Since the polymer has been designed to have scission portions at which scission is more likely, there is a narrow distribution of molecular weights of the sub-units produced by exposure to the electromagnetic radiation. Therefore, it is possible to more precisely time the developing process. The scission portion is preferably located within the main polymer chain so that when it is broken, the original polymer is broken down into shorter sub-units. It will be appreciated that the resist composition may comprise a plurality of polymers as described herein, the polymers preferably being substantially identical. It will be appreciated that other polymers may be included if required.
[00026] The non-chemically amplified resist composition may be organic. Polymers are generally organic in nature and it is possible to use such organic polymers to form the resist compositions according to the present invention.
[00027] The resist composition is preferably a single component resist material. The resist composition is preferably an EUV resist composition, although it may also be a resist composition used with shorter wavelengths.
[00028] By providing a single component EUV resist material, many of the disadvantages of existing resist compositions are overcome. In particular, in CARs there are statistical distributions of the molecular weight of the base polymer, spatial distribution of the photoacid generators (as well as any photo-generated acid), spatial distribution of base additives, diffusion of the photo-generated acids and base additives, and phase separation of protected and de -protected polymers amongst other features. In MORs, such statistical distributions include the distribution of nanoparticle size, nanoparticle aggregation, and the statistical nature of nanoparticle condensation reactions. The present invention addresses these issues by providing a single component resist material. In this way, the resist composition comprises a uniform EUV resist material, so any statistical distributions are minimized. Since there are fewer components to add to any statistical variations, the resist composition of the present invention displays lower resist stochastics. In particular, the resists composition of the present invention is substantially or completely free from photoacid generators and/or base quenchers.
[00029] The resist composition may comprise a polymer having a substantially uniform molecular weight. In existing resist compositions, the molecular weight of the polymer comprising the resist material is non-uniform. As such, there is a relatively broad spread of molecular weights of the polymers and so the resist material is not uniform. By having polymer chains of substantially the same molecular weight, the resist composition is more uniform and there are fewer stochastic effects. [00030] The polymer may include a light cleavable chemical linkage at regular intervals along the length of the polymer. As such, there may be a light cleavable chemical linkage every nth sub-unit, wherein n is an integer. As such, the light cleavable chemical linkages may be at integer intervals, for example, every other, every second, every third etc, sub-unit. For example, there may be a light cleavable chemical linkage between every group of five monomers (sub-units). As the chemical linkages are configured to preferentially break compared to the other portions of the polymer chain, upon exposure to electromagnetic radiation, which may be EUV radiation, the resist composition will preferentially break down into smaller chains of length five. Whereas previous polymer-based resists would break down into chains of various lengths having a broad length or weight distribution, the breakdown products of the polymer of the resist composition according to the present invention have a narrow size distribution due to the inclusion of the scission portions.
[00031] The polymer may comprise from 10 to 30 sub-units (repeating units). It will be appreciated that longer or shorter polymer chains may be used. A sub-unit may comprise a single monomer or more than one monomer. A sub-unit may comprise 1 to 10 monomers. It will be appreciated that a sub-unit may comprise more than 10 monomers.
[00032] The polymer may comprise an EUV absorbing moiety.
[00033] Since the resist composition is eminently suitable as an EUV resist, it is preferable to configure the polymers to include a portion which readily absorbs EUV radiation. In existing CARs, it is generally the carbon backbone of the polymer which absorbs the EUV radiation. Although carbon is able to absorb EUV radiation, it absorbs EUV radiation less readily than other moieties and so a higher power of EUV radiation is required, or a longer exposure. In addition, the EUV radiation may be absorbed at any point along the polymer, so it is random as to where the polymer chain is broken. This is undesirable as it increases power requirements or reduces the throughput of the lithographic apparatus as well as also increasing the stochastic effects observed. As such, the EUV absorbing moiety may have an EUV absorption cross-section greater than that of carbon. Oxygen is another common element in polymers and, again, this means that it is random as to where the polymer chain will break upon exposure to electromagnetic radiation, such as EUV radiation or radiation of even shorter wavelength. As such, the EUV absorbing moiety may have an EUV absorption cross- section greater than that of oxygen. The provision of light, preferably EUV light, cleavable chemical linkages allows for the energy absorbed to cleave such linkages and therefore render the resist composition soluble. Since the resist material is a single component material, namely that the resist material comprises identical or substantially identical molecules, the polymers forming the resist material break down into the same base units and so the performance of the resist material when exposed to EUV radiation is more uniform and predictable. By configuring the polymer to have specific EUV absorption moieties as well as predefined scission portions, it is possible to carefully control the nature of the breakdown products when the resist is exposed to electromagnetic radiation. [00034] The EUV absorbing moiety may comprise a metal. Metals generally have a larger EUV radiation absorption cross-section than carbon and oxygen. As the polymers comprising the resist material are configured to include such EUV absorbing moieties and one or more light cleavable chemical linkages, and also since the resist material comprises a single component EUV resist material, it is possible to have very predictable results upon exposure to EUV radiation. Without wishing to be bound by scientific theory, it is believed that this is the case since the statistical distributions caused by the inclusion of multiple components in previous resists have been eliminated by providing a resist material which only comprises identical base units. In particular, the resist material of the present invention comprises a plurality of identical or substantially identical components, namely polymers comprising an EUV absorbing moiety and one or more light cleavable chemical linkages and which has a substantially uniform molecular weight, and so there are fewer components to result in any stochastic effects.
[00035] The metal may be selected from Sn, Sb, Zr, Hf, In, and Pb. Indeed any metal with a higher EUV absorption cross section greater than hafnium and which is not radioactive and/or reactive may be used. These particular metals have high EUV absorption cross-sections.
[00036] The metal in the metal oxide nanoparticles or nanoclusters may comprise one or more alkali metals, alkali earth metals, transition metals, lanthanides, actinides, or post-transition metals. Post-transition metals are metals which are situated in the p-block of the periodic table. The metal may be tin. The metal may be hafnium. As mentioned, metals generally have higher EUV absorption cross sections compared with carbon and so resists which comprise metals are relatively less transparent to EUV radiation than resists which rely on carbon to absorb the electromagnetic radiation. Tin and hafnium in particular exhibit good absorption of EUV radiation and show etch resistance. [00037] The resist material may have a Dill B parameter of greater than around 6 pm 1, optionally greater than around 10 pm 1, optionally greater than around 15 pm 1, optionally greater than around 20 pm 1.
[00038] The resist composition may be substantially free from a photoacid generator. Since the composition of the present invention does not rely on the production of acidic species to alter the resist material, the presence of photoacid generators is not necessary. By eliminating the presence of photoacid generators, it is possible to avoid the inherent imprecision caused by the diffusion of acidic species. There may be no photoacid generators present in the composition.
[00039] The resist composition is substantially free from base additives or base quenchers. Base additives or base quenchers serve to react with any excess acids generated. Again, since the present invention does not rely on the generation and reaction of acidic groups, any base additives or quenchers may be eliminated.
[00040] The composition may have a molar mass dispersity of from between 1 and around 1.2. The composition may have a molar mass dispersity from between around 1 and 1.1. The composition may have a molar mass dispersity from between around 1 and 1.05. It will be appreciated that in practice it is not possible to provide a material in which every constituent polymer is of exactly the same length. As such, it will be appreciated that there will inevitably be some differences in polymer chain length. Having a molar mass dispersity closer to one (with one indicating that all polymer chain lengths are the same, i.e. the standard deviation is zero) indicates that there is a tight distribution of molecular masses. As such, the resist as a whole has more uniform properties across its extent.
[00041] The resist composition may be configured to break down into soluble or volatile components upon exposure to EUV radiation. As such, the resist may be a positive resist. In this way, when the resist is exposed to EUV radiation, the portions which are exposed are degraded or otherwise broken down to allow them to be removed and thereby form a pattern. In cases where one of the breakdown products is volatile, such components are removed from the resist and there is no risk of the resist re-forming. Due to the presence of the EUV absorbing moieties and the scission portions, namely weak links in the polymer chain, the polymer is configured to break down into substantially uniform sub-units.
[00042] The resist material may comprise aliphatic, aromatic, linear or cyclic hydrocarbon moieties. Polymers generally comprise a carbon backbone or a series of carbon units which connect to each other or other chemical moieties in order to provide a polymer chain. The carbon-carbon bonds of the polymer backbone are strong, especially where they are part of an aromatic or cyclic chemical group, and so these are able to remain intact whilst the light cleavable chemical linkages are broken. As such, the light cleavable chemical linkages preferably comprise bonds which are weaker than carbon-carbon bonds.
[00043] The at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation may comprise a chemical bond which is weaker than the chemical bonds forming the backbone of the polymer. In this way, the weaker bonds are preferentially broken and it is possible to control the nature of the breakdown products of the polymer.
[00044] The one or more light cleavable chemical linkages may be selected from an ester, ether, sulphide (thioether), or similar groups. Where the chemical linkage comprises an ester, gaseous carbon dioxide may be produced upon scission of the chemical linkage thereby preventing the re formation of the polymer. Since the polymer comprising the resist material has been configured to have one or more locations at which cleavage of the polymer chain is more likely, the polymer will be more likely to be cleaved at such locations. This being the case, the products of the EUV radiation initiated breakdown of the polymer chains will be more consistent than previous resists relying on chemical amplification.
[00045] The resist composition is preferably suitable for use with EUV. Preferably, the resist composition is also suitable for use with photons having a higher or lower frequency than EUV. The resist composition may also be suitable for use with electron-beam lithography. The resist composition may be a photoresist composition.
[00046] According to a third aspect of the present invention, there is provided a resist for fabrication of integrated circuits comprising the resist composition or polymer according to the first or second aspects of the present invention.
[00047] According to a fourth aspect of the present invention, there is provided the use of the composition or polymer according to the first or second aspects in a process for fabrication of integrated circuits.
[00048] According to a fifth aspect of the present invention, there is provided a lithographic method comprising the steps of: a) providing a resist composition or polymer according to the first or second aspects to a semiconductor substrate; b) exposing the resist composition or polymer to a patterned EUV light beam or an electron beam to form a pattern in the resist composition; and c) developing the resist or polymer to form a circuit pattern.
[00049] The electromagnetic radiation may be EUV. The electromagnetic radiation may have a frequency greater or less than that of EUV.
[00050] The method of the fourth aspect of the present invention may also comprise a baking of the semiconductor substrate. Preferably, baking takes place after the electromagnetic radiation or electron beam exposure step.
BRIEF DESCRIPTION OF THE DRAWINGS
[00051] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:
Figure 1 depicts a lithographic system comprising a lithographic apparatus and a radiation source which may be used to irradiate the resist compositions of the present invention; Figure 2 is a schematic depiction of a generalized polymer being converted from an insoluble form to a soluble form upon exposure to EUV radiation; and
Figure 3 is a schematic depiction of one example of an insoluble polymer being converted into a soluble form and releasing gas upon exposure to EUV radiation.
DETAILED DESCRIPTION
[00052] Figure 1 shows a lithographic system which may be used to irradiate the resist compositions of the present invention. The lithographic system comprises a radiation source SO and a lithographic apparatus LA. The radiation source SO is configured to generate an extreme ultraviolet (EUV) radiation beam B. The lithographic apparatus LA comprises an illumination system IL, a support structure MT configured to support a patterning device MA (e.g. a mask), a projection system PS and a substrate table WT configured to support a substrate W. A layer of the resist composition according to an embodiment of the present invention is provided on the substrate W. The illumination system IL is configured to condition the radiation beam B before it is incident upon the patterning device MA. The projection system is configured to project the radiation beam B (now patterned by the mask MA) onto the substrate W. The substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus aligns the patterned radiation beam B with a pattern previously formed on the substrate W.
[00053] The radiation source SO, illumination system IL, and projection system PS may all be constructed and arranged such that they can be isolated from the external environment. A gas at a pressure below atmospheric pressure (e.g. hydrogen) may be provided in the radiation source SO. A vacuum may be provided in illumination system IL and/or the projection system PS. A small amount of gas (e.g. hydrogen) at a pressure well below atmospheric pressure may be provided in the illumination system IL and/or the projection system PS.
[00054] The radiation source SO shown in Figure 1 is of a type which may be referred to as a laser produced plasma (LPP) source). A laser 1, which may for example be a CO2 laser, is arranged to deposit energy via a laser beam 2 into a fuel, such as tin (Sn) which is provided from a fuel emitter 3. Although tin is referred to in the following description, any suitable fuel may be used. The fuel may for example be in liquid form, and may for example be a metal or alloy. The fuel emitter 3 may comprise a nozzle configured to direct tin, e.g. in the form of droplets, along a trajectory towards a plasma formation region 4. The laser beam 2 is incident upon the tin at the plasma formation region 4. The deposition of laser energy into the tin creates a plasma 7 at the plasma formation region 4. Radiation, including EUV radiation, is emitted from the plasma 7 during de-excitation and recombination of ions of the plasma.
[00055] The EUV radiation is collected and focused by a near normal incidence radiation collector 5 (sometimes referred to more generally as a normal incidence radiation collector). The collector 5 may have a multilayer structure which is arranged to reflect EUV radiation (e.g. EUV radiation having a desired wavelength such as 13.5 nm). The collector 5 may have an elliptical configuration, having two ellipse focal points. A first focal point may be at the plasma formation region 4, and a second focal point may be at an intermediate focus 6, as discussed below.
[00056] The laser 1 may be separated from the radiation source SO. Where this is the case, the laser beam 2 may be passed from the laser 1 to the radiation source SO with the aid of a beam delivery system (not shown) comprising, for example, suitable directing mirrors and/or a beam expander, and or other optics. The laser 1 and the radiation source SO may together be considered to be a radiation system.
[00057] Radiation that is reflected by the collector 5 forms a radiation beam B. The radiation beam B is focused at point 6 to form an image of the plasma formation region 4, which acts as a virtual radiation source for the illumination system IL. The point 6 at which the radiation beam B is focused may be referred to as the intermediate focus. The radiation source SO is arranged such that the intermediate focus 6 is located at or near to an opening 8 in an enclosing structure 9 of the radiation source.
[00058] The radiation beam B passes from the radiation source SO into the illumination system IL, which is configured to condition the radiation beam. The illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11. The faceted field mirror device 10 and faceted pupil mirror device 11 together provide the radiation beam B with a desired cross- sectional shape and a desired angular distribution. The radiation beam B passes from the illumination system IL and is incident upon the patterning device MA held by the support structure MT. The patterning device MA reflects and patterns the radiation beam B. The illumination system IL may include other mirrors or devices in addition to or instead of the faceted field mirror device 10 and faceted pupil mirror device 11.
[00059] Following reflection from the patterning device MA the patterned radiation beam B enters the projection system PS. The projection system comprises a plurality of mirrors which are configured to project the radiation beam B onto a substrate W held by the substrate table WT. The projection system PS may apply a reduction factor to the radiation beam, forming an image with features that are smaller than corresponding features on the patterning device MA. A reduction factor of 4 may for example be applied. Although the projection system PS has two mirrors in Figure 1, the projection system may include any number of mirrors (e.g. six mirrors).
[00060] The radiation sources SO shown in Figure 1 may include components which are not illustrated. For example, a spectral filter may be provided in the radiation source. The spectral filter may be substantially transmissive for EUV radiation but substantially blocking for other wavelengths of radiation such as infrared radiation.
[00061] The term “EUV radiation” may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13-14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 4-10 nm such as 6.7 nm or 6.8 nm.
[00062] Although Figure 1 depicts the radiation source SO as a laser produced plasma LPP source, any suitable source may be used to generate EUV radiation. For example, EUV emitting plasma may be produced by using an electrical discharge to convert fuel (e.g. tin) to a plasma state. A radiation source of this type may be referred to as a discharge produced plasma (DPP) source. The electrical discharge may be generated by a power supply which may form part of the radiation source or may be a separate entity that is connected via an electrical connection to the radiation source SO. [00063] Figure 2 depicts a mechanism of action of polymer chain scission in a resist. The polymer comprises a unit A which includes an EUV absorption moiety connected via an EUV sensitive linkage X. The chemical bond or linkage between individual monomers is weaker than the bonds within the monomers and is therefore preferentially broken upon EUV exposure. The polymer breaks down into smaller molecules which can then be removed by a developer or by vaporisation. In this way, the solubility of the resist material is switched. Due to the uniform chain structure and the identical chain length of the reaction products, any possible chemical or material related noise is eliminated.
[00064] Figure 3 depicts the mechanism of action of an exemplary polymer comprising a resist material. The insoluble polymer comprises a number of monomeric units which include an EUV absorption moiety Z. The EUV absorption moiety has an atomic absorption cross-section greater than carbon and preferably greater than oxygen. As such, incident EUV radiation is preferably absorbed by the moiety Z and the bond between the cyclic hydrocarbon and the ester group is broken. This irreversibly releases carbon dioxide and renders the resist soluble.
[00065] The descriptions above are intended to be illustrative and not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims.
[00066] The present invention relies upon the provision of portions within a polymer which are more likely to absorb incident EUV radiation than other portions as well as weak links within the polymer which preferentially break upon exposure to EUV radiation. By configuring the polymer in such a way, it is possible to control how the polymer is broken down by exposure to EUV radiation. Since the scission products are all substantially identical, any stochastic effects seen in existing resist materials is eliminated.

Claims

1. A polymer for use as a resist in the fabrication of integrated circuits, wherein the polymer has the structure:
Figure imgf000015_0001
wherein n is an integer, A is a polymer chain sub-unit and X is an EUV-sensitive photo- cleavable chemical linkage.
2. The polymer of claim 1, wherein the polymer chain sub-unit comprises one or more of an aliphatic, an aromatic, a linear, or a cyclic hydrocarbon moiety.
3. The polymer according to any preceding claim, wherein the polymer chain sub-unit comprises an element having a greater EUV atomic absorption cross-section than carbon, preferably greater than oxygen.
4. The polymer according to any preceding claim, wherein the polymer chain sub-unit comprises a metal, optionally wherein the metal is selected from Sn, Sb, Zr, Hf, In, and Pb.
5. The polymer according to any preceding claim, wherein the EUV-sensitive photo-cleavable chemical linkage is selected from an ester, an ether, a sulphide (thioether), or the like.
6. The polymer according to any of preceding claim, wherein the polymer is selected from one of the following: a)
Figure imgf000015_0002
b)
Figure imgf000016_0001
c)
Figure imgf000016_0002
or d)
Figure imgf000016_0003
wherein Z is an element having an EUV atomic absorption cross-section greater than that of carbon, preferably greater than that of oxygen.
7. A non-chemically amplified resist composition comprising a polymer having at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation.
8. The resist composition according to Claim 7, wherein the non-chemically amplified resist composition is organic.
9. The resist composition according to Claim 7 or 8, wherein the composition is a single component resist material.
10. The resist composition according to any of Claims 7 to 9, wherein polymers comprising the resist composition have a substantially uniform molecular weight.
11. The resist composition according to any of Claims 7 to 10, wherein the polymer comprises from 10 to 30 sub-units.
12. The resist composition according to any of Claims 7 to 11, wherein there is a light cleavable chemical linkage every nth sub-unit, wherein n is an integer.
13. The resist composition according to any of Claims 7 to 12, wherein the polymer includes a light cleavable chemical linkage at regular intervals along the length of the polymer.
14. The resist composition according to any of Claims 7 to 13, wherein the polymer comprises at least one EUV absorbing moiety.
15. The resist composition according to Claim 14, wherein the at least one EUV absorbing moiety comprises an element having a greater EUV atomic absorption cross-section than carbon, preferably greater than oxygen.
16. The resist composition according to Claim 14 or 15, wherein the at least one EUV absorbing moiety comprises a metal.
17. The resist composition according to Claim 16, wherein the metal is selected from Sn, Sb, Zr, Hf, In, and Pb.
18. The resist composition according to any of Claims 7 to 17, wherein the resist material has a Dill B parameter of greater than around 6 pm 1, greater than around 10 pm 1, greater than around 15 pm 1 or greater than around 20 pm 1.
19. The resist composition according to any of Claims 7 to 18, wherein the composition has a molar mass dispersity of from between around 1 and around 1.2, preferably from between around 1 to around 1.1, preferably between around 1 to around 1.05.
20. The resist composition according to any of Claims 7 to 19, wherein the polymer is configured to break down into soluble and/or volatile components upon exposure to electromagnetic radiation.
21. The resist composition according to any of claims 7 to 20, wherein the polymer is configured to break down into substantially uniform sub-units.
22. The resist composition according to any of Claims 7 to 21, wherein the polymer includes aliphatic, aromatic, linear, and/or cyclic hydrocarbon moieties.
23. The resist composition according to any of Claims 7 to 22, wherein the at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation comprises a chemical bond which is weaker than the chemical bonds forming the backbone of the polymer.
24. The resist composition according to any of Claims 7 to 23, wherein the at least one scission portion comprising a light cleavable chemical linkage configured to preferentially break upon exposure of the resist composition to electromagnetic radiation comprises an ester, ether, sulphide (thioether) or similar group.
25. A resist for fabrication of integrated circuits comprising the resist composition of any preceding claim.
26. The use of the resist composition or polymer of any of Claims 1 to 24 in a process for fabrication of integrated circuits.
27. A lithographic method comprising the steps of: a. providing a resist composition or polymer according to any of Claims 1 to 24 to a semiconductor substrate; b. exposing the resist composition or polymer to a patterned EUV light beam or an electron beam to form a pattern in the resist composition or polymer; and c. developing the resist or polymer to form a circuit pattern.
PCT/EP2020/079531 2019-11-20 2020-10-20 Resist compositions WO2021099051A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202080079572.7A CN114830031A (en) 2019-11-20 2020-10-20 Resist composition
KR1020227017175A KR20220104708A (en) 2019-11-20 2020-10-20 resist composition

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962938260P 2019-11-20 2019-11-20
US62/938,260 2019-11-20
US201962944996P 2019-12-06 2019-12-06
US62/944,996 2019-12-06

Publications (1)

Publication Number Publication Date
WO2021099051A1 true WO2021099051A1 (en) 2021-05-27

Family

ID=73013402

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2020/079531 WO2021099051A1 (en) 2019-11-20 2020-10-20 Resist compositions

Country Status (3)

Country Link
KR (1) KR20220104708A (en)
CN (1) CN114830031A (en)
WO (1) WO2021099051A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220390845A1 (en) * 2021-06-07 2022-12-08 International Business Machines Corporation Alternating copolymer chain scission photoresists

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171757B1 (en) * 1999-07-12 2001-01-09 International Business Machines Corporation Organometallic polymers and use thereof
WO2018004551A1 (en) * 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
US20190202955A1 (en) * 2017-12-31 2019-07-04 Rohm And Haas Electronic Materials Llc Monomers, polymers and lithographic compositions comprising same
EP3564751A1 (en) * 2016-12-28 2019-11-06 JSR Corporation Radiation-sensitive composition, pattern formation method, and metal-containing resin and method for manufacturing same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171757B1 (en) * 1999-07-12 2001-01-09 International Business Machines Corporation Organometallic polymers and use thereof
WO2018004551A1 (en) * 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
EP3564751A1 (en) * 2016-12-28 2019-11-06 JSR Corporation Radiation-sensitive composition, pattern formation method, and metal-containing resin and method for manufacturing same
US20190202955A1 (en) * 2017-12-31 2019-07-04 Rohm And Haas Electronic Materials Llc Monomers, polymers and lithographic compositions comprising same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
STEVENSON W ET AL: "Photo-sensitivity of recycled photo-degraded polystyrene", JOURNAL OF MATERIALS SCIENCE, KLUWER ACADEMIC PUBLISHERS, BO, vol. 37, no. 6, 1 March 2002 (2002-03-01), pages 1091 - 1100, XP019209754, ISSN: 1573-4803, DOI: 10.1023/A:1014394817238 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220390845A1 (en) * 2021-06-07 2022-12-08 International Business Machines Corporation Alternating copolymer chain scission photoresists
US11906901B2 (en) * 2021-06-07 2024-02-20 International Business Machines Corporation Alternating copolymer chain scission photoresists

Also Published As

Publication number Publication date
KR20220104708A (en) 2022-07-26
CN114830031A (en) 2022-07-29

Similar Documents

Publication Publication Date Title
US20190129301A1 (en) Resist compositions
US5989776A (en) Photoresist composition for extreme ultraviolet lithography
JP6236000B2 (en) Lithographic patterning process and resist used in the process
CN107111227B (en) Lithographic patterning process and resist for use therein
De Simone et al. Photoresists in extreme ultraviolet lithography (EUVL)
WO2021099051A1 (en) Resist compositions
NL2025508A (en) Resist Compositions
US20160170309A1 (en) Light exposure method, and light exposure apparatus
US11599031B2 (en) Target debris collection device and extreme ultraviolet light source apparatus including the same
WO2023156297A1 (en) Resist compositions
EP4095604A1 (en) Hybrid photoresist composition for extreme ultraviolet photolithography applications
Manouras et al. Chemically-amplified backbone scission (CABS) resist for EUV lithography
CN114641731A (en) Method for improving uniformity of a substrate during photolithography
Gronheid et al. Using KLUP for understanding Trends in EUV resist performance
KR20210099692A (en) Photoresist composition, photolithography method using the same, and method of manufacturing semiconductor device using the same
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
Rathore New material chemistry exploration for Extreme Ultraviolet Lithography
KR20020025055A (en) Exposure method, exposure apparatus, x-ray mask, resist, semiconductor device and microstructure
NL2025509A (en) Method for device fabrication
TW202404974A (en) Enhanced euv photoresists
TW202340865A (en) Resist under-layer for use in a lithographic apparatus
KR20220100594A (en) Device manufacturing method
JPH05249677A (en) Photosensitive resin composition
Luo Deposition, characterization, patterning and mechanistic study of inorganic resists for next-generation nanolithography
Tam Characterization of a high sensitivity x-ray resist and resultant process latitude

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20796746

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20796746

Country of ref document: EP

Kind code of ref document: A1