CN107209665A - 产生并执行控制流 - Google Patents

产生并执行控制流 Download PDF

Info

Publication number
CN107209665A
CN107209665A CN201680008571.7A CN201680008571A CN107209665A CN 107209665 A CN107209665 A CN 107209665A CN 201680008571 A CN201680008571 A CN 201680008571A CN 107209665 A CN107209665 A CN 107209665A
Authority
CN
China
Prior art keywords
instruction
controlling stream
stream instruction
memory
controlling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680008571.7A
Other languages
English (en)
Other versions
CN107209665B (zh
Inventor
凯尔·B·惠勒
理查德·C·墨菲
卓依·A·曼宁
迪安·A·克莱因
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to CN202011287471.7A priority Critical patent/CN112379930A/zh
Publication of CN107209665A publication Critical patent/CN107209665A/zh
Application granted granted Critical
Publication of CN107209665B publication Critical patent/CN107209665B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3877Concurrent instruction execution, e.g. pipeline, look ahead using a slave processor, e.g. coprocessor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7807System on chip, i.e. computer system on a single chip; System in package, i.e. computer system on one or more chips in a single package
    • G06F15/7821Tightly coupled to memory, e.g. computational memory, smart memory, processor in memory
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/408Address circuits
    • G11C11/4087Address decoders, e.g. bit - or word line decoders; Multiple line decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4096Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • G11C7/065Differential amplifiers of latching type
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1015Read-write modes for single port memories, i.e. having either a random port or a serial port
    • G11C7/1036Read-write modes for single port memories, i.e. having either a random port or a serial port using data shift registers

Abstract

本发明的实例提供关于产生及执行控制流的设备及方法。实例设备可包含:第一装置,其经配置以产生控制流指令;及第二装置,其包含存储器单元阵列、执行所述控制流指令的执行单元;及控制器,其经配置以控制对经存储于所述阵列中的数据的所述控制流指令的执行。

Description

产生并执行控制流
技术领域
本发明大体上涉及半导体存储器设备及方法,且更特定来说,涉及关于产生且执行控制流的设备及方法。
背景技术
存储器装置通常提供为计算机或其它电子系统中的内部半导体集成电路。存在许多不同类型的存储器,包含易失性存储器及非易失性存储器。易失性存储器可需要电力以维持其数据(例如,主机数据、错误数据等)且包含随机存取存储器(RAM)、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、同步动态随机存取存储器(SDRAM)与晶闸管随机存取存储器(TRAM)等。非易失性存储器可通过在未供电时留存所存储数据而提供永久性数据且可包含与非(NAND)快闪存储器、或非(NOR)快闪存储器及电阻可变存储器(例如相变随机存取存储器(PCRAM)、电阻性随机存取存储器(RRAM)及磁阻性随机存取存储器(MRAM),例如自旋扭矩转移随机存取存储器(STT RAM))等。
电子系统通常包含数个处理资源(例如,一或多个处理器),其可检索及执行指令(例如,控制流)且将所执行指令的结果存储到合适位置。处理器可包括数个功能单元(例如,在此处被称为功能单元电路,例如算术逻辑单元(ALU)电路、浮点单元(FPU)电路及/或组合逻辑块),举例来说,所述功能单元可执行指令以对数据(例如,一或多个操作数)实行例如与(AND)、或(OR)、非(NOT)、与非、或非及异或(XOR)逻辑运算的逻辑运算。
在将指令提供到功能单元电路以供执行中可涉及电子系统中的数个组件。举例来说,指令可由例如控制器及/或主机处理器的处理资源产生。数据(例如,将对其执行指令以实行逻辑运算的操作数)可存储于可通过功能单元电路存取的存储器阵列中。可从存储器阵列检索指令及/或数据且可在功能单元电路开始对数据执行指令之前串行化及/或缓冲指令及/或数据。此外,因为可通过功能单元电路以一或多个时钟循环执行不同类型的运算,所以还可串行化及/或缓冲运算及/或数据的中间结果。
在许多例子中,处理资源(例如,处理器及/或相关联的功能单元电路)可在存储器阵列外部,且可存取数据(例如,经由处理资源与存储器阵列之间的总线)以执行指令。数据可经由总线从存储器阵列移动到存储器阵列外部的寄存器。
附图说明
图1是根据本发明的数个实施例的呈计算系统的形式的设备的框图。
图2是根据先前技术的呈计算系统的形式的设备的框图。
图3是根据本发明的数个实施例的呈计算系统的形式的设备的框图。
图4是根据本发明的数个实施例的呈计算系统的形式的设备的框图。
图5是根据本发明的数个实施例的控制流及控制流的执行的框图。
图6说明根据本发明的数个实施例的存储器阵列的部分的示意图。
图7是说明根据本发明的数个实施例的具有可选逻辑运算选择逻辑的感测电路的示意图。
图8是说明根据本发明的数个实施例的通过感测电路实施的可选逻辑运算结果的逻辑表。
图9说明根据本发明的数个实施例的与使用感测电路实行逻辑运算及移位运算相关联的时序图。
具体实施方式
本发明的实例提供关于产生且执行控制流的设备及方法。一种实例设备可包含:第一装置,其经配置以产生控制流指令;及第二装置,其包含存储器单元的阵列、执行所述控制流指令的执行单元及经配置以控制对存储于所述阵列中的数据的所述控制流指令的执行的控制器。
如在本文中使用,控制流是指其中执行指令(例如,程序的叙述及/或函数调用)的顺序。其中执行数个指令的顺序可根据跳跃、无条件分支、条件分支、循环、返回及/或停止以及与程序相关联的其它指令类型而变化。在数个实例中,数个指令还可为函数调用。如果-则语句是条件分支的实例。可通过第一装置(例如,主机处理器)评估相关联于如果-则语句评估的条件以产生控制流。举例来说,在通过第一装置评估条件的情况下,可通过第二装置执行第一组指令或第二组指令。举例来说,第一装置可进一步评估循环(例如,for循环、while循环)以产生通过第二装置执行的数个指令及与数个指令相关联的顺序。
在各种先前方法中,产生控制流且通过相同装置(例如,主机处理器)执行与控制流相关联的指令。举例来说,产生数个指令及与指令相关联的执行顺序的相同装置还根据所产生顺序执行数个指令。在相同装置中产生控制流且执行与控制流相关联的指令可包含在执行指令之前产生控制流。举例来说,如果单个装置正在产生控制流且执行相关联指令,那么无法同时产生控制流且执行指令。
在根据本发明的数个实例中,第一装置可产生控制流且第二装置可执行对应于控制流的指令。举例来说,可在产生控制流的同时执行控制流。如在本文中使用,对应于控制流的指令(可被称为“控制流指令”)意指涉及操纵数据的指令。举例来说,涉及操纵数据的指令包含涉及对数据实行计算(其可包含数学运算(例如,加法、减法、乘法及/或除法))的指令,其可包含实行例如AND、OR、反相等等的各种布尔(Boolean)逻辑运算。不涉及操纵数据的指令的实例包含存储器命令,例如数据读取、数据写入及数据刷新操作。
作为实例,第一装置可为主机。举例来说,主机可包含中央处理单元(CPU)、芯片上系统(SoC)及专用集成电路(ASIC)中的一者。作为实例,SoC可包括耦合到数个存储器装置的一或多个处理器及一或多个控制器(例如,通道控制器)。第二装置可为存储器装置,其包含存储器阵列、执行单元(其可包括包含数个计算组件的感测电路)及控制执行单元执行指令的控制器。存储器装置的控制器可操作执行单元的计算组件以协调与控制流相关联的指令的执行。
作为实例,可通过实行数个运算来执行由主机产生的指令。举例来说,“加法”指令包含实行各种逻辑运算。如在本文中使用,可互换地使用指令及运算。运算可为比较运算、交换运算及/或逻辑运算(例如,AND运算、OR运算、SHIFT运算、INVERT运算等等)。然而,实施例不限于这些实例。如在本文中使用,将执行单指令多数据(SIMD)运算定义为对多个元素并行(例如,同时)实行相同运算。如在本文中使用,元素是可(例如,作为位向量)存储于存储器阵列中的数值。
在本发明的以下详细描述中,参考形成本发明的部分且其中通过图解展示可如何实践本发明的一或多个实施例的所附图式。足够详细描述这些实施例以使所属领域的一般技术人员能够实践本发明的实施例,且应理解,可在不脱离本发明的范围的情况下利用其它实施例且做出过程、电及/或结构的改变。如在本文中使用,标示符“J”、“N”、“R”、“S”、“U”、“V”、“W”及“X”(尤其关于图式中的参考数字)可指示可包含如此指定的数个特定特征。如在本文中使用,“数个”特定事物可指代一或多个此类事物(例如,数个存储器阵列可指代一或多个存储器阵列)。
本文中的图遵循编号惯例,其中首位或前几位数字对应于图式图号,且剩余数字识别图式中的元件或组件。可通过使用类似数字来识别不同图之间的类似元件或组件。举例来说,110可参考图1中的元件“10”,且类似元件可在图2中被参考为210。如将了解,可添加、交换及/或消除在本文的各种实施例中展示的元件,以便提供本发明的数个额外实施例。另外,如将了解,在图式中提供的元件的比例及相对尺寸希望说明本发明的特定实施例,且不应视为限制意义。
图1是根据本发明的数个实施例的呈计算系统100的形式的设备的框图。如在本文中使用,主机110、存储器装置120、存储器阵列130及/或感测电路150还可被单独视为“设备”及/或装置。
系统100包含经耦合到存储器装置120的主机110,存储器装置120包含存储器阵列130。主机110可为主机系统,例如个人膝上型计算机、桌上型计算机、数码相机、移动电话或存储器卡读取器以及各种其它类型的主机。主机110可包含系统主板及/或背板,且可包含数个处理资源(例如,一或多个处理器、微处理器或某一其它类型的控制电路),例如CPU、SoC、ASIC及/或存储器缓冲器(例如,已注册双列直插存储器模块(DIMM))。系统100可包含单独集成电路,或主机110及存储器装置120两者可位于相同集成电路上。举例来说,系统100可为服务器系统及/或高性能计算(HPC)系统及/或其部分。尽管在图1中展示的实例说明具有冯·诺伊曼(Von Neumann)架构的系统,但本发明的实施例可以非冯·诺伊曼架构(例如,图灵机(Turing machine),其可不包含通常与冯·诺伊曼架构相关联的一或多个组件(例如,CPU、ALU等等))来实施。
为明确起见,系统100已经简化以集中于与本发明特定相关的特征。举例来说,存储器阵列130可为DRAM阵列、SRAM阵列、STT RAM阵列、PCRAM阵列、TRAM阵列、RRAM阵列、NAND快闪阵列及/NOR快闪阵列。阵列130可包括经布置成通过存取线(在本文中可称为字线或选择线)耦合的行及通过感测线(在本文中可称为数字线或数据线)耦合的列的存储器单元。尽管在图1中展示单个阵列130,但实施例并不如此受限制。举例来说,存储器装置120可包含数个阵列130(例如,数个DRAM存储器单元库)。相关联于图6描述例示性DRAM阵列。
存储器装置120包含地址电路142以锁存经由I/O总线156(例如,数据总线)通过I/O电路144提供的地址信号。通过行解码器146及列解码器152来接收及解码地址信号以存取存储器阵列130。可通过使用感测电路150来感测感测线上的电压及/或电流改变以从存储器阵列130读取数据。感测电路150可从存储器阵列130读取及锁存页(例如,行)数据。I/O电路144可被用于经由I/O总线156与主机110的双向数据通信。写入电路148用于将数据写入到存储器阵列130。
控制器140解码通过控制总线154从主机110提供的信号。这些信号可包含用于控制对存储器阵列130实行的操作(包含数据读取、数据写入及数据擦除操作)的芯片启用信号、写入启用信号及地址锁存信号。在各种实施例中,控制器140负责执行来自主机110的指令。控制器140可为状态机、序列发生器或某一其它类型的控制器。
如在下文进一步描述,控制器140可包括多个控制器(例如,单独控制器单元)。在数个实施例中,感测电路150可包括数个感测放大器及数个计算组件,所述计算组件可包括累加器且可用于(例如,对与互补感测线相关联的数据)实行逻辑运算。在数个实施例中,感测电路(例如,150)可用于对存储于阵列130中的数据实行(例如,执行)运算且在不经由感测线地址存取传送数据(例如,不触发列解码信号)的情况下及/或在不启用耦合到感测电路的本地I/O线的情况下将排序运算的结果存储回到阵列130。因而,运算可使用感测电路150实行而非通过感测电路150外部的处理资源(例如,通过与主机110相关联的处理器及/或定位于装置120上(例如,在控制器140上或别处)的其它处理电路,例如ALU电路)实行,及/或除了通过感测电路150外部的处理资源实行之外,还可使用感测电路150实行。在数个实施例中,感测电路150可被称为执行单元。执行单元可耦合到存储器阵列130及/或可与存储器阵列130解耦合。
因而,在数个实施例中,由于感测电路150可经控制以实行在使用存储器阵列130的地址空间实行运算中所涉及的适当计算,因此在阵列130及感测电路150外部的寄存器及/或ALU可无需实行各种运算。另外,可在不使用外部处理资源的情况下实行运算。举例来说,例如主机110的外部处理资源可产生控制流,但主机110(例如,主机的ALU)可不用于实行与执行对应于控制流的指令相关联的计算。
图2是根据先前技术的呈计算系统200的形式的设备的框图。系统200包含主机210、存储器装置220-1及存储器装置220-2。主机210包含ALU 260及高速缓冲存储器262。存储器装置220-1包含存储器阵列230。
如在本文中使用,主机210是第一装置且存储器装置220-1是第二装置。存储器装置220-1及/或存储器装置220-2可为易失性存储器及/或非易失性存储器。举例来说,存储器装置220-1可为易失性存储器(例如,DRAM)且存储器装置220-2可为非易失性存储器(例如,硬盘驱动器、固态驱动器(SSD)等等)。
在数个先前方法中,主机210可从存储器装置220-1请求数据。存储器装置220-1可将存储于存储器阵列230中的数据传送到主机210。如果存储器装置220-1不具有所请求数据,那么存储器装置220-1可从存储器装置220-2检索数据(例如,经由由箭头272表示的合适接口)。存储器装置220-1可将从存储器装置220-2检索的数据存储于存储器阵列230中。可通过主机210将数据存储于高速缓冲存储器262中。举例来说,数据可为与实行特定任务(例如,程序)相关联的一组可执行指令。
主机210可使用ALU 260以识别需从存储于高速缓冲存储器262中的数据执行的数个指令的位置。在通过ALU 260识别每一指令之后,主机210可执行经识别指令(如由箭头268指示)。举例来说,主机210可产生控制流且可进一步执行与控制流相关联的指令。
图3是根据本发明的数个实施例的呈计算系统300的形式的设备的框图。系统300包含主机310、存储器装置320-1及存储器装置320-2。在此实例中,主机310包含ALU 360及高速缓冲存储器362,且存储器装置320-1包含控制器340、存储器阵列330及感测电路350。
主机310可被称为第一装置且可包括CPU、SoC(例如,其可包含数个处理器及数个通道控制器(未展示))及/或ASIC以及其它类型的装置。主机310可用于产生控制流,其包含指令及与指令相关联的执行顺序。在数个实例中,主机310可利用ALU 360产生控制流。在图5中进一步描述产生控制流。
主机310可从存储器装置320-1请求与程序相关联的数据。存储器装置可从存储器阵列330检索所请求数据。存储器装置可将数据传回到主机310。主机可将数据存储于高速缓冲存储器362中且利用ALU 360产生控制流。在数个实例中,数据可为与一组可执行指令(例如,程序)相关联的数据。程序可以各种格式表示。举例来说,程序可表示为源文件、汇编文件、目标文件及/或可执行文件。在数个实例中,可动态地产生程序。举例来说,可经由汇编文件及/或缓冲器提供程序。
主机310可使用从存储器阵列330检索的数据以产生控制流。举例来说,ALU 360可用于检索表示运算的数个指令。图5进一步描绘产生指令的过程。
与图2的实例(其中在主机210上执行通过主机210产生的控制流指令(例如,如由箭头268指示))相比,在图3中展示的实例中,主机310可将控制流指令及与指令相关联的执行顺序提供到存储器装置320-1以用于在装置320-1上执行(例如,经由在装置320-1本地的执行单元)。举例来说,尽管主机310包含ALU 360(其可经配置以执行通过主机310产生的控制流指令),但在数个实施例中,通过主机310产生的控制流指令的执行发生在单独装置(例如,存储器装置320-1)上。作为实例,存储器装置320-1可在控制器340处从主机310接收控制流指令。举例来说,控制器340可经由存储器装置320-1的缓冲器、存储器阵列及/或移位电路接收指令。
控制器340可控制对经存储于存储器阵列330中的存储器单元中的数据的控制流的执行。举例来说,控制器340可通过控制感测电路350来控制指令的执行,感测电路350可充当执行单元以执行与控制流指令相关联的数个运算。相比之下,在图2中展示的存储器装置220-1可具有控制器(未展示);然而,此控制器将控制除命令流指令以外的指令的执行。举例来说,装置220-1上的控制器可控制存储器命令操作(例如数据读取、数据写入及数据刷新操作,其并不涉及与计算相关联的数据的操纵)的执行,但将不控制命令流指令的执行。
控制流指令可包含数个运算,包含AND运算、OR运算、INVERT(反相)运算及/或SHIFT(移位)运算。数个运算可包含其它运算。举例来说,运算可为任何数目个二进制运算及非二进制运算,例如加法运算、乘法运算及/或比较运算。在数个实例中,可在未经由图6中的输入/输出(I/O)线634传送数据的情况下执行数个运算。
控制器340可控制经耦合到多个感测线(例如,图6中的感测线605-0、605-1、605-2、605-3、605-4、605-5、605-6、605-7、…、605-S,统称为感测线605)且经形成于与阵列330中的多个存储器单元(例如,图6中的存储器单元603-0、603-1、603-2、603-3、603-4、603-5、603-6、…、603-J,统称为存储器单元603)的间距上的多个计算组件(例如,图6中的计算组件631-0、631-1、631-2、631-3、631-4、631-5、631-6、631-7、…、631-X,统称为计算组件631)。控制器还可控制经耦合到多个计算组件631的多个感测放大器(例如,图6中的感测放大器606-0、606-1、606-2、606-3、606-4、606-5、606-6、606-7、…、606-U,统称为感测放大器606)。控制器340可控制计算组件631及感测放大器606以执行指令。
举例来说,控制器340可激活阵列330中的数个感测线605及存取线(例如,图6中的存取线604-0、606-1、606-2、606-3、606-4、606-5、606-6、…、606-R,统称为存取线604)以读取阵列330中的数据。数据可被存储于感测放大器606及/或计算组件631中。控制器340可进一步激活与计算组件631及/或感测放大器606相关联的感测线605、存取线604及/或锁存器,以对经存储于感测线605及/或计算组件631中的数据执行数个运算。
控制器340还可激活感测线605及/或存取线604,以将运算的结果(例如,数个指令的执行结果)存储回到阵列330。在数个实例中,控制器340可进一步将运算的结果及/或运算已经执行的指示传送回到主机310。
在数个实例中,控制器340可包括数个控制器。举例来说,控制器340可包括第一控制器及数个第二控制器。第一控制器可从主机310接收数个指令。指令可包含实行(例如)加法运算的指令。举例来说,第一控制器可将数个指令转译为数个AND运算、OR运算、INVERT运算及/或SHIFT运算。第一控制器可将AND运算、OR运算、INVERT运算及/或SHIFT运算提供到数个第二控制器。数个第二控制器可控制计算组件631及感测放大器606以执行AND运算、OR运算、INVERT运算及/或SHIFT运算。举例来说,数个第二控制器可激活与计算组件631及/或感测放大器606相关联的感测线605、存取线604及/或锁存器以执行AND运算、OR运算、INVERT运算及/或SHIFT运算。在数个实例中,数个第二控制器中的每一者可控制计算组件631及/或感测放大器606以执行AND运算、OR运算、INVERT运算及/或SHIFT运算中的至少一者。
根据数个实施例,其上产生控制流的装置(例如,主机310)可独立于其上执行对应控制流指令的装置(存储器装置320-1)。作为实例,控制流可产生为数个部分。举例来说,主机310可产生包含第一部分及第二部分的控制流,其中第一部分包括第一数目个控制流指令且第二部分包括第二数目个控制流指令。作为实例,主机310可产生與控制流的第一部分相关联的第一数目个指令且将其提供到存储器装置320-1。当第一数目个指令的执行发生在存储器装置320-1上时,主机310可产生与控制流的第二部分相关联的第二数目个指令(例如,控制流的第二部分的产生可与控制流的第一部分的执行同时发生)。存储器装置320-1可将第一数目个指令的执行的结果传回到主机310且主机310可将第二数目个指令提供到存储器装置320-1。存储器装置320-1可将第二数目个指令的执行的结果及/或已执行第二数目个指令的指示提供到主机310。
将控制流的产生与控制流的执行分开提供在产生控制流的同时执行控制流的能力。此外,将控制流的产生与控制流的执行分开无需将结合控制流的执行而进行运算的数据移动到主机310,这是由于经由与主机310分开的装置(例如,320-1)上的执行单元执行控制流指令。
图4是根据本发明的数个实施例的呈计算系统的形式的设备的框图。系统400包含可类似于图3中的主机310的主机410。图4还包含存储器装置420-1、420-2、…、420-N(例如,统称为存储器装置420),其可类似于存储器装置320。
在此实例中,存储器装置420中的每一者包含控制器、存储器阵列及感测电路。举例来说,存储器装置420-1包含控制器440-1、存储器阵列430-1及感测电路450-1,存储器装置420-2包含控制器440-2、存储器阵列430-2及感测电路450-2,且存储器装置420-N包含控制器440-N、存储器阵列430-N及感测电路450-N。控制器440-1、控制器440-2、…、及控制器440-N统称为控制器440。阵列430-1、阵列430-2、…、及阵列430-N统称为阵列430。感测电路450-1、感测电路450-2、…、及感测电路450-N统称为感测电路450。如在下文进一步描述,在数个实施例中,感测电路450可经操作(例如,通过对应控制器440)以充当执行单元。
主机410可产生数个不同控制流。控制流中的每一者可与特定存储器装置420相关联。举例来说,第一控制流可与存储器装置420-1相关联,第二控制流可与存储器装置420-2,…相关联,且第N控制流可与存储器装置420-N相关联。从主机410到装置420-1到420-N的箭头表示其上可传送数据、地址及/或命令的接口(例如,总线)。然而,举例来说,装置420可经由共用总线耦合到主机410。
作为实例,不同控制流中的每一者可与单个(例如,相同)程序相关联及/或不同控制流可与不同程序相关联。举例来说,第一控制流及第二控制流可与第一程序相关联且第N控制流可与第二(例如,不同)程序相关联。第一控制流可与独立于第一程序的第二部分的第一程序的第一部分相关联。第二控制流可与独立于第一程序的第一部分的第一程序的第二部分相关联。如果执行与程序的第一部分相关联的数个指令并不影响与程序的第二部分相关联的第二数目个指令的执行,那么第一部分可被视为独立于程序的第二部分。
存储器装置420中的每一者可执行来自与多个控制流相关联的多个指令的不同指令。举例来说,存储器装置420-1可执行与第一控制流相关联的第一数目个指令,存储器装置420-2可执行与第二控制流相关联的第二数目个指令,…,且存储器装置420-N可执行与第N控制流相关联的第N数目个指令。
与图2的实例(其中控制流的产生及对应控制流指令的执行发生在相同装置上)相比,本发明的实施例可涉及分开控制流的产生与控制流的执行,此可允许数个过程同时执行。如在本文中使用,过程是指经执行的程序的例子。举例来说,可在执行第二程序的同时执行过程。
数个过程的同时执行可包含在存储器装置420执行控制流时产生控制流的主机410。举例来说,主机410可产生第一控制流。主机410可将第一控制流提供到存储器装置420-1。当存储器装置420-1经由控制器440-1、存储器阵列430-1及感测电路450-1执行与第一控制流相关联的第一数目个指令时,主机410可产生第二控制流。当存储器装置420-1执行与第一控制流相关联的第一数目个指令时,主机410可将第二控制流提供到存储器装置420-2。当存储器装置420-1及存储器装置420-2分别执行与第一控制流相关联的第一数目个指令及与第二控制流相关联的第二数目个指令时,主机410可产生且提供第N控制流到存储器装置420-N。存储器装置420-2可经由控制器440-2、存储器阵列430-2及感测电路450-2执行第二数目个指令。存储器装置420中的每一者可同时执行与不同控制流相关联的不同多个指令。举例来说,存储器装置410-2可执行第一数目个指令,存储器装置410-2可执行第二数目个指令,且存储器装置410-N可同时执行第N数目个指令。存储器装置410-N可经由控制器440-N、存储器阵列430-N及感测电路450-N执行第N数目个指令。
存储器装置420中的每一者可传回不同多个指令的执行的结果及/或已执行不同多个指令的指示。举例来说,当执行第二数目个指令及第N数目个指令(例如,分别在装置420-2及420-N上)时,存储器装置420-1可对主机410通知已执行第一数目个指令。主机410可基于与第一控制流的第一数目个指令相关联的结果而产生与第一控制流相关联的不同控制流。当存储器装置420-2及存储器装置420-N分别执行第二数目个指令及第N数目个指令时,主机410可将不同控制流提供到存储器装置420-1。可连续产生不同控制流中的每一者。举例来说,可在产生第一控制流之后产生第二控制流且可在产生第一控制流及第二控制流之后产生第N控制流。可同时(例如,在相同时间)执行与不同控制流相关联的不同数目个指令中的每一者。可在产生不同控制流的同时执行与控制流相关联的不同数目个指令中的每一者。
图4的实例通过将控制流的产生与控制流的执行分开而提供产生数个控制流且同时执行数个控制流的能力。与如在图2的实例中所提供那样一次执行单个控制流相比,同时执行数个控制流可增大生产率且可同时利用更大数目个计算资源。作为实例,主机410的ALU 460可经配置以确定产生及/或在装置420中分布控制流以用于执行的方式。
图5是根据本发明的数个实施例的控制流及控制流的执行的框图。图5说明包含装置510及装置520的系统500。产生控制流可包含提取、解码且产生控制流指令,控制流指令可包含存储器可操作指令。执行存储器可操作指令包含:接收存储器可操作指令586;操作执行单元(例如,通过激活存取线、感测线及锁存器);及传回存储器可操作指令的执行的结果。
在图5中展示的实例中,装置510可为经配置以产生控制流的主机(例如,主机410),且装置520可为经配置以执行通过装置510产生的至少一些控制流指令的存储器装置(例如,装置420)。提取、解码及/或产生存储器可操作指令可被分类为主机可操作指令。主机可操作指令是主机(例如,装置510)用于产生存储器可操作指令的指令。举例来说,主机可操作指令是主机用于产生由装置520执行的数个操作的指令。在下文进一步描述存储器可操作指令。
如在上文描述,装置510可为主机且装置520可为存储器装置。主机510可与程序计数器相关联。程序计数器保持待执行的下一指令的存储器地址。程序计数器可经累加以获得后继指令的地址。
在580处,装置510使用程序计数器从存储器提取指令。在提取操作结束时,程序计数器可指向将在下一循环读取的下一指令。装置510可将所提取指令存储于高速缓冲存储器中。举例来说,高速缓冲存储器可为指令寄存器及/或另一形式的存储器。
在582处,装置510解码所提取指令。解码所提取指令可包含基于与所提取指令相关联的操作码确定待经实行的运算。举例来说,装置510可解码指令(例如,所提取指令)以确定待实行加法运算。
在584处,装置510产生存储器可操作指令。产生存储器可操作指令可包含动态地产生存储器可操作指令。动态地产生存储器可操作指令可同义于动态地产生控制流,这是因为存储器可操作指令可为与控制流相关联的控制流指令。可在装置510评估经解码指令以产生存储器可操作指令时动态地产生存储器可操作指令。装置510可通过评估经解码指令以产生存储器可操作指令而动态地产生存储器可操作指令。举例来说,经解码指令可为如果则语句。可由装置510动态地评估如果则语句。装置510可基于如果则语句的评估动态地选择第一存储器可操作指令而非第二存储器可操作指令。在数个实例中,可在已编译程序之后动态地产生存储器可操作指令。
如在本文中使用,存储器可操作指令是指待由装置520执行的指令。存储器可操作指令可包含逻辑运算(例如,AND运算、OR运算等等)、加法运算、减法运算、乘法运算、除法运算及/或比较运算以及可与控制流指令相关联的其它类型的运算。此外,存储器可操作指令可包含读取操作及/或写入操作(例如,并不涉及操纵数据的存储器命令)。
可由装置510产生多个存储器可操作指令或可产生单个存储器可操作指令。在586处,装置520可接收存储器可操作指令。可在控制器(例如,控制器340)处接收存储器可操作指令。在588处,装置520可激活存取线、感测线及/或锁存器以执行存储器可操作指令。举例来说,控制器可激活与装置520中的存储器阵列及/或感测电路相关联的数个存取线、感测线及/或锁存器。激活数个存取线、感测线及/或锁存器可将数据从阵列移动到感测电路中。
控制器可进一步激活感测电路中的存取线、感测线及/或锁存器以对存储于感测电路中的数据执行存储器可操作指令。在数个实例中,存储器可操作指令的执行的结果可存储回到阵列。在590处,可将存储器可操作指令的结果传回到装置510。
在数个实例中,装置510及装置520至少部分解码指令。举例来说,装置510可部分解码指令以产生存储器可操作指令。装置510可将经部分解码的存储器可操作指令提供到装置520中的控制器。解码器可进一步解码存储器可操作指令且执行经完全解码的存储器可操作指令。
在数个实例中,当在装置520上执行存储器可操作指令时,装置510可留存对存储器可操作指令的部分控制。装置510可通过部分解码存储器可操作指令来留存对存储器可操作指令的部分控制。举例来说,装置510可通过将虚拟地址转译为物理存储器地址来部分解码存储器可操作指令且装置520可从经转译物理存储器地址检索指令。装置510可通过将虚拟地址转译为物理存储器地址来留存对存储器可操作指令的部分控制。
图6说明根据本发明的数个实施例的存储器阵列630的部分的示意图。阵列630包含耦合到存取线604-0、604-1、604-2、604-3、604-4、604-5、604-6、…、604-R的行及感测线605-0、605-1、605-2、605-3、605-4、605-5、605-6、605-7、…、605-S的列(其可统称为存取线604及感测线605)的存储器单元603-0、603-1、603-3、603-4、603-5、603-6、603-7、603-8、……、603-J(例如,统称为存储器单元603)。存储器阵列630不限于特定数目个存取线及/或感测线,且使用术语“行”及“列”并不意味存取线及/或感测线的特定物理结构及/或定向。尽管未描绘,存储器单元的每一列可与一对对应互补感测相关联。举例来说,阵列630可为例如图3中的阵列330或图4中的阵列430的阵列。
存储器单元的每一列可耦合到感测电路(例如,在图1中展示的感测电路150)。在此实例中,感测电路包括耦合到相应感测线605-0、605-1、605-2、605-3、605-4、605-5、605-6、605-7、…、605-S的数个感测放大器606-0、606-1、606-2、606-3、606-4、606-5、606-6、606-7、…、606-U(例如,统称为感测放大器606)。感测放大器606经由存取装置(例如,晶体管)608-0、608-2、608-3、608-4、608-5、608-6、608-7、…、608-V耦合到输入/输出(I/O)线634(例如,本地I/O线)。在此实例中,感测电路还包括耦合到相应感测线的数个计算组件631-0、631-1、631-2、631-3、631-4、631-5、631-6、631-7、…、631-X(统称为计算组件631)。列解码线610-0到610-W分别耦合到晶体管608-0到608-V的栅极,且可经选择性地激活以将通过相应感测放大器606-0到606-U感测及/或存储于相应计算组件631-0到631-X中的数据传送到次级感测放大器612及/或到阵列630外部的处理资源(例如,经由I/O线634)。在数个实施例中,计算组件631可形成于与其对应行的存储器单元及/或与对应感测放大器606的间距上。
可通过控制器(例如,140、340及440)控制感测电路(例如,计算组件631及感测放大器606)以执行根据本文中描述的数个实施例的控制流操作。结合图3到5描述的实例证明如何可对存储于例如阵列630的阵列中的数据(例如,元素)执行运算。
图7是说明根据本发明的数个实施例的具有可选逻辑运算选择逻辑的感测电路的示意图。图7展示耦合到相应互补感测线对705-1及705-2的数个感测放大器706及经由传递门707-1及707-2耦合到感测放大器706的对应数目个计算组件731。可通过逻辑运算选择逻辑信号PASS控制传递门707-1及707-2的栅极。举例来说,逻辑运算选择逻辑713-6的输出可耦合到传递门707-1及707-2的栅极。
根据在图7中说明的实施例,计算组件731可包括经配置以向左及向右移位数据值的可负载移位寄存器的相应阶(例如,移位单元)。举例来说,如在图7中说明,移位寄存器的每一计算组件731(例如,阶)包括一对右移位晶体管781及786、一对左移位晶体管789及790及一对反相器787及788。可将信号PHASE 1R、PHASE 2R、PHASE 1L及PHASE 2L施加到相应控制线782、783、791及792以结合实行与根据本文中描述的实施例相关联的逻辑运算及/或移位数据而启用/停用对应计算组件831的锁存器上的反馈。在下文关于图9进一步描述移位数据的实例(例如,从特定计算组件731到相邻计算组件731)。
逻辑运算选择逻辑713-6包含交换门742以及用以控制传递门707-1及707-2及交换门742的逻辑。逻辑运算选择逻辑713-6包含四个逻辑选择晶体管:逻辑选择晶体管762,其耦合在交换晶体管742的栅极与TF信号控制线之间;逻辑选择晶体管752,其耦合在传递门707-1及707-2的栅极与TT信号控制线之间;逻辑选择晶体管754,其耦合在传递门707-1及707-2的栅极与FT信号控制线之间;及逻辑选择晶体管764,其耦合在交换晶体管742的栅极与FF信号控制线之间。通过隔离晶体管750-1(具有耦合到ISO信号控制线的栅极)将逻辑选择晶体管762及752的栅极耦合到真感测线。通过隔离晶体管750-2(还具有耦合到ISO信号控制线的栅极)将逻辑选择晶体管764及754的栅极耦合到互补感测线。图9说明与使用在图7中展示的感测电路实行逻辑运算及移位运算相关联的时序图。
图8是说明根据本发明的数个实施例的通过感测电路(例如,在图7中展示的感测电路)实施的可选逻辑运算结果的逻辑表。四个逻辑选择控制信号(例如,TF、TT、FT及FF)可连同存在于互补感测线上的特定数据值一起用于选择多个逻辑运算中的一者以实施涉及存储于感测放大器706及计算组件731中的开始数据值。四个控制信号(例如,TF、TT、FT及FF)连同存在于互补感测线上(例如,节点S及S*上)的特定数据值一起控制传递门707-1及707-2及交换晶体管742,其又影响在触发之前/之后计算组件731及/或感测放大器706中的数据值。可选择性地控制交换晶体管742的能力促进实施尤其涉及反相数据值(例如,反相操作数及/或反相结果)的逻辑运算。
在图8中说明的逻辑表8-1展示在844处的栏A中展示的存储于计算组件731中的开始数据值及在845处的栏B中展示的存储于感测放大器706中的开始数据值。逻辑表8-1中的其它3栏标头是指传递门707-1及707-2以及交换晶体管742的状态,其可取决于四个逻辑选择控制信号(例如,TF、TT、FT及FF)的状态以及ISO控制信号经断言时存在于所述对互补感测线705-1及705-2上的特定数据值而分别经控制为打开或关闭。“NOT OPEN”栏对应于都处于非传导条件下的传递门707-1及707-2以及交换晶体管742,“OPEN TRUE”栏对应于处于传导条件下的传递门707-1及707-2,且“OPEN INVERT”栏对应于处于传导条件下的交换晶体管742。逻辑表8-1中未反映对应于都处于传导条件下的传递门707-1及707-2以及交换晶体管742的配置,这是由于此导致感测线短接在一起。
经由传递门707-1及707-2以及交换晶体管742的选择性控制,逻辑表8-1的上部的三列中的每一者可与逻辑表8-1的下部的三列中的每一者组合以提供对应于九个不同逻辑运算的九个(例如,3x3)不同结果组合,如通过在875处展示的各种连接路径指示。在逻辑表8-2中概述可由感测电路750实施的九个不同可选逻辑运算。
逻辑表8-2的列展示标头880,其包含逻辑选择控制信号(例如,FF、FT、TF、TT)的状态。举例来说,在行876中提供第一逻辑选择控制信号(例如,FF)的状态,在行877中提供第二逻辑选择控制信号(例如,FT)的状态,在行878中提供第三逻辑选择控制信号(例如,TF)的状态,且在行879中提供第四逻辑选择控制信号(例如,TT)的状态。在行847中概述对应于结果的特定逻辑运算。
图9说明根据本发明的数个实施例的与使用感测电路执行逻辑AND运算及移位运算相关联的时序图。图9包含对应于信号EQ、ROW X、ROW Y、SENSE AMP、TF、TT、FT、FF、PHASE1R、PHASE 2R、PHASE 1L、PHASE 2L、ISO、Pass、Pass*、DIGIT及DIGIT_的波形。EQ信号对应于与感测放大器(例如,感测放大器706)相关联的平衡信号(未展示)。ROW X及ROW Y信号对应于施加到相应存取线(例如,在图6中展示的存取线ROW 1及ROW 2)的信号以存取选定存储器单元(或单元的行)。SENSE AMP信号对应于用于启用/停用感测放大器(例如,感测放大器706)的信号。TF、TT、FT及FF信号对应于例如在图7中展示的逻辑选择控制信号(例如,耦合到逻辑选择晶体管762、752、754及764的信号)。PHASE 1R、PHASE 2R、PHASE 1L及PHASE 2L信号对应于提供到在图7中展示的相应控制线782、783、791及792的控制信号(例如,时钟信号)。ISO信号对应于耦合到在图7中展示的隔离晶体管750-1及750-2的栅极的信号。PASS信号对应于耦合到在图7中展示的传递晶体管707-1及707-2的栅极的信号,且PASS*信号对应于耦合到交换晶体管742的栅极的信号。DIGIT及DIGIT_信号对应于存在于相应感测线705-1(例如,DIGIT(n))及705-2(例如,DIGIT(n)_)上的信号。
在图9中展示的时序图与对存储于阵列的第一存储器单元中的数据值及存储于第二存储器单元中的数据值实行逻辑AND运算相关联。存储器单元可对应于阵列的特定列(例如,包括一对互补感测线的列)且可耦合到相应存取线(例如,ROW X及ROW Y)。在描述图9中展示的逻辑AND运算时,将参考在图7中描述的感测电路。举例来说,在图9中描述的逻辑运算可包含:将ROW X存储器单元的数据值(例如,“ROW X”数据值)存储于对应计算组件731(其可称为累加器731)的锁存器(例如,“A”数据值)中;将ROW Y存储器单元的数据值(例如,“ROW Y”数据值)存储于对应感测放大器706的锁存器(例如,“B”数据值)中;及对ROW X数据值及ROW Y数据值实行选定逻辑运算(例如,此实例中的逻辑AND运算),其中将选定逻辑运算的结果存储于计算组件731的锁存器中。
如在图9中展示,在时间T1,停用感测放大器706的平衡(例如,EQ降低)。在时间T2,ROW X升高以存取(例如,选择)ROW X存储器单元。在时间T3,启用感测放大器706(例如,SENSE AMP升高),这响应于ROW X数据值(例如,如通过DIGIT及DIGIT_信号展示)而将互补感测线705-1及705-2驱动到适当轨电压(例如,VDD及GND),且将ROW X数据值锁存于感测放大器706中。在时间T4,PHASE 2R及PHASE 2L信号降低,这停用计算组件731的锁存器上的反馈(例如,通过分别关断晶体管786及790),使得可在逻辑运算期间覆写存储于计算组件中的值。而且,在时间T4,ISO降低,这停用隔离晶体管750-1及750-2。在时间T5,启用TT及FT(例如,升高),这导致PASS升高(例如,由于晶体管752或754将取决于节点ST2或节点SF2的哪一者在时间T4停用ISO时为高而传导)(回想起当ISO停用时,节点ST2及SF2的电压动态地驻留于相应启用晶体管752及754的栅极上)。PASS升高启用传递晶体管707-1及707-2,使得将对应于ROW X数据值的DIGIT及DIGIT_信号提供到相应计算组件节点ST2及SF2。在时间T6,停用TT及FT,这导致PASS降低,从而停用传递晶体管707-1及707-2。注意,由于TF及FF信号保持低,因此PASS*在时间T5与T6之间保持低。在时间T7,停用ROW X,且启用PHASE 2R、PHASE2L及ISO。在时间T7启用PHASE 2R及PHASE2L启用计算组件731的锁存器上的反馈,使得ROWX数据值锁存于其中。在时间T7启用ISO再次将节点ST2及SF2耦合到启用晶体管752、754、762及764的栅极。在时间T8,启用平衡(例如,EQ升高,使得DIGIT及DIGIT_经驱动到例如VDD/2的平衡电压)且停用感测放大器706(例如,SENSE AMP降低)。
在ROW X数据值锁存于计算组件731中的情况下,停用平衡(例如,EQ在时间T9降低)。在时间T10,ROW Y升高以存取(例如,选择)ROW Y存储器单元。在时间T11,启用感测放大器706(例如,SENSE AMP升高),这响应于ROW Y数据值(例如,如通过DIGIT及DIGIT_信号展示)而将互补感测线705-1及705-2驱动到适当轨电压(例如,VDD及GND),且将ROW Y数据值锁存于感测放大器706中。在时间T12,PHASE 2R及PHASE 2L信号降低,这停用计算组件731的锁存器上的反馈(例如,通过分别关断晶体管786及790),使得可在逻辑运算期间覆写存储于计算组件中的值。而且,在时间T12,ISO降低,这停用隔离晶体管750-1及750-2。由于此实例中的所要逻辑运算是AND运算,因此在时间T13,启用TT而TF、FT及FF保持停用(如在表8-2中展示,FF=0,FT=0,TF=0且TT=1对应于逻辑AND运算)。启用TT是否导致PASS升高取决于在时间T12停用ISO时存储于计算组件731中的值。举例来说,如果节点ST2在停用ISO时为高,那么启用晶体管752将传导,且如果节点ST2在时间T12停用ISO时为低,那么启用晶体管将不传导。
在此实例中,如果PASS在时间T13升高,那么启用传递晶体管707-1及707-2,使得将对应于ROW Y数据值的DIGIT及DIGIT_信号提供到相应计算组件节点ST2及SF2。因而,存储于计算组件731中的值(例如,ROW X数据值)可取决于DIGIT及DIGIT_的值(例如,ROW Y数据值)而翻转。在此实例中,如果PASS在时间T13保持低,那么不启用传递晶体管707-1及707-2,使得对应于ROW Y数据值的DIGIT及DIGIT_信号保持与计算组件731的节点ST2及SF2隔离。因而,计算组件中的数据值(例如,ROW X数据值)将保持相同。
在时间T14,停用TT,这导致PASS降低(或保持低),使得停用传递晶体管707-1及707-2。注意,由于TF及FF信号保持低,因此PASS*在时间T13与T14之间保持低。在时间T15,停用ROW Y,且启用PHASE 2R、PHASE 2L及ISO。在时间T15启用PHASE2R及PHASE 2L启用计算组件731的锁存器上的反馈,使得AND运算(例如,“A”AND“B”)的结果锁存于其中。在时间T15启用ISO再次将节点ST2及SF2耦合到启用晶体管752、754、762及764的栅极。在时间T16,启用平衡(例如,EQ升高,使得DIGIT及DIGIT_经驱动到平衡电压)且停用感测放大器706(例如,SENSE AMP降低)。
可经由I/O线将AND运算的结果(在此实例中,其最初存储于计算组件731中)传送回到存储器阵列(例如,到经由互补感测线耦合到ROW X、ROW Y及/或不同行的存储器单元)及/或到外部位置(例如,外部处理组件)。
图9还包含(例如,在901处)与移位数据(例如,从计算组件731到相邻计算组件731)相关联的发信号。在图9中展示的实例说明两个左移位,使得存储于对应于列“N”的计算组件中的数据值向左移位到对应于列“N-2”的计算组件。如在时间T16展示,停用PHASE 2R及PHASE 2L,这停用计算组件锁存器上的反馈,如在上文描述。为实行第一左移位,在时间T17启用PHASE 1L且在时间T18停用PHASE 1L。启用PHASE 1L导致晶体管789传导,这导致节点SF1处的数据值向左移动到左相邻计算组件731的节点SF2。随后在时间T19启用PHASE 2L且在时间T20停用PHASE 2L。启用PHASE 2L导致晶体管790传导,这导致来自节点ST1的数据值向左移动到节点ST2从而完成左移位。
上述序列(例如,启用/停用PHASE 1L且随后启用/停用PHASE 2L)可经重复以实现所要数目个左移位。举例来说,在此实例中,通过在时间T21启用PHASE 1L且在时间T22停用PHASE 1L而实行第二左移位。随后在时间T23启用PHASE 2L以完成第二左移位。继第二左移位之后,PHASE 2L保持启用且PHASE 2R经启用(例如,在时间T24),使得启用反馈以将数据值锁存于计算组件锁存器中。
尽管已在本文中说明及描述特定实施例,但所属领域的一般技术人员将了解,经计算以实现相同结果的布置可取代展示的特定实施例。本发明希望涵盖本发明的一或多个实施例的调适或变动。应理解,已以阐释性方式而非限制性方式做出上述描述。所属领域的技术人员在检视上述描述后将明白在本文中未具体描述的上述实施例的组合及其它实施例。本发明的一或多个实施例的范围包含其中使用上述结构及方法的其它应用。因此,应参考所附权利要求书以及此权利要求书所授权的等效物的全范围确定本发明的一或多个实施例的范围。
在前述具体实施方式中,出于简化本发明的目的,将一些特征集中于单个实施例中。本发明的此方法不应解释为反映本发明的所揭示实施例必须使用多于每一权利要求中明确叙述的特征的意图。而是,如所附权利要求书反映,本发明标的物在于少于单个所揭示实施例的所有特征。因此,特此将所附权利要求书并入具体实施方式中,其中每一权利要求独立地作为单独实施例。

Claims (23)

1.一种设备,其包括:
第一装置,其经配置以产生控制流指令;及
第二装置,其包含:
存储器单元阵列;
执行单元,其用以执行所述控制流指令;及
控制器,其经配置以控制对经存储于所述阵列中的数据的所述控制流指令的执行。
2.根据权利要求1所述的设备,其中所述执行单元包括感测电路,所述感测电路包括数个感测放大器及数个计算组件,所述数个计算组件包括经形成于与所述阵列的存储器单元的间距上的晶体管。
3.根据权利要求2所述的设备,其中所述控制器通过控制所述感测电路以在未实行感测线地址存取的情况下实行至少一个逻辑运算来控制所述控制流指令的所述执行。
4.根据权利要求2所述的设备,其中所述至少一个逻辑运算包含选自包括以下各者的群组的至少一个逻辑运算:
AND运算;
OR运算;及
INVERT运算。
5.根据权利要求4所述的设备,其中所述控制器是定位于所述第一装置上且经配置以将所述控制流指令转译为所述至少一个逻辑运算。
6.根据权利要求4所述的设备,其中所述第一装置包括具有算术逻辑单元ALU的主机,且其中所述控制流指令未由所述主机的所述ALU执行。
7.根据权利要求4所述的设备,其中所述第一装置是中央处理单元CPU、芯片上系统SoC、专用集成电路ASIC及存储器缓冲器中的一者。
8.一种方法,其包括:
在第一装置上动态地产生控制流指令;及
在第二装置上执行所述控制流指令,所述第二装置包括:
存储器单元阵列;及
控制器,其经配置以控制对经存储于所述阵列中的数据的所述控制流指令的执行。
9.根据权利要求8所述的方法,其中产生所述控制流指令包含所述第一装置从存储器提取所述控制流指令。
10.根据权利要求9所述的方法,其中所述方法包含在所述第一装置上解码所述控制流指令。
11.根据权利要求9所述的方法,其中所述方法包含在所述第一装置上解码至少部分解码所述控制流指令,且在所述第二装置上至少部分解码所述控制流指令。
12.根据权利要求11所述的方法,其中所述方法包含当在所述第二装置上执行所述控制流指令时,所述第一装置维持对所述控制流指令的至少部分控制。
13.根据权利要求8到12中任一权利要求所述的方法,其中执行所述控制流指令包含执行布尔控制运算。
14.根据权利要求8到12中任一权利要求所述的方法,其中执行所述控制流指令包含对经存储于所述阵列中的数据执行除数据写入操作、数据读取操作及数据刷新操作以外的操作。
15.根据权利要求8到12中任一权利要求所述的方法,其中所述方法包含在所述第一装置上产生所述控制流指令的至少一部分,同时在所述第二装置上执行所述控制流指令的不同部分。
16.根据权利要求8到12中任一权利要求所述的方法,其中动态地产生控制流指令包含:
产生与来自多个运算的第一运算相关联的第一数目个控制流指令;及
产生与来自所述多个运算的第二运算相关联的第二数目个控制流指令。
17.一种设备,其包括:
处理装置,其经配置以产生多个命令流;及
多个存储器装置,所述多个存储器装置中的每一者包含:
存储器阵列;
感测电路;及
控制器,其经配置以操作所述感测电路以对经存储于所述存储器阵列中的数据执行对应于所述命令流的命令流指令。
18.根据权利要求17所述的设备,其中所述相应多个存储器装置中的每一者经配置以执行对应于所述多个命令流的不同一者的命令流指令。
19.根据权利要求17所述的设备,其中连续产生所述多个命令流中的每一者。
20.根据权利要求17所述的设备,其中所述多个存储器装置经配置以同时执行对应于所述多个命令流中的至少一者的不同部分的命令流指令。
21.根据权利要求17所述的设备,其中所述相应多个存储器装置中的每一者的所述控制器经配置以从所述处理装置接收命令流指令。
22.根据权利要求17到21中任一权利要求所述的设备,其中:
所述相应多个存储器装置中的每一者的所述感测电路包括多个感测放大器及多个计算组件;
所述多个计算组件包括经形成于与所述相应存储器阵列的存储器单元的间距上的晶体管;且
通过所述相应控制器来操作所述感测电路以执行所述命令流指令,其中执行所述命令流指令包含在未经由所述阵列的输入/输出线传送数据的情况下实行至少一个逻辑运算。
23.根据权利要求22所述的设备,其中所述至少一个逻辑运算是选自包含以下各者的群组:
AND运算;
运算;及
反相运算。
CN201680008571.7A 2015-01-07 2016-01-07 产生并执行控制流 Active CN107209665B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011287471.7A CN112379930A (zh) 2015-01-07 2016-01-07 产生并执行控制流

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562100717P 2015-01-07 2015-01-07
US62/100,717 2015-01-07
US14/980,024 2015-12-28
US14/980,024 US10061590B2 (en) 2015-01-07 2015-12-28 Generating and executing a control flow
PCT/US2016/012415 WO2016112151A1 (en) 2015-01-07 2016-01-07 Generating and executing a control flow

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202011287471.7A Division CN112379930A (zh) 2015-01-07 2016-01-07 产生并执行控制流

Publications (2)

Publication Number Publication Date
CN107209665A true CN107209665A (zh) 2017-09-26
CN107209665B CN107209665B (zh) 2020-12-04

Family

ID=56286577

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202011287471.7A Pending CN112379930A (zh) 2015-01-07 2016-01-07 产生并执行控制流
CN201680008571.7A Active CN107209665B (zh) 2015-01-07 2016-01-07 产生并执行控制流

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202011287471.7A Pending CN112379930A (zh) 2015-01-07 2016-01-07 产生并执行控制流

Country Status (5)

Country Link
US (5) US10061590B2 (zh)
EP (1) EP3243137B1 (zh)
CN (2) CN112379930A (zh)
TW (1) TWI645336B (zh)
WO (1) WO2016112151A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022121287A1 (zh) * 2020-12-11 2022-06-16 上海阵量智能科技有限公司 命令下发方法、装置、处理设备、计算机设备及存储介质

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9898252B2 (en) * 2014-09-03 2018-02-20 Micron Technology, Inc. Multiplication operations in memory
US9904515B2 (en) * 2014-09-03 2018-02-27 Micron Technology, Inc. Multiplication operations in memory
CN107430874B (zh) 2015-03-12 2021-02-02 美光科技公司 用于数据移动的设备及方法
KR102402672B1 (ko) * 2015-09-01 2022-05-26 삼성전자주식회사 컴퓨팅 시스템 및 컴퓨팅 시스템에서 연산들을 처리하는 방법
US10037785B2 (en) 2016-07-08 2018-07-31 Micron Technology, Inc. Scan chain operation in sensing circuitry
US10388360B2 (en) 2016-07-19 2019-08-20 Micron Technology, Inc. Utilization of data stored in an edge section of an array
US10733089B2 (en) 2016-07-20 2020-08-04 Micron Technology, Inc. Apparatuses and methods for write address tracking
US10387299B2 (en) 2016-07-20 2019-08-20 Micron Technology, Inc. Apparatuses and methods for transferring data
US9767864B1 (en) 2016-07-21 2017-09-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in a sensing circuitry element
US9972367B2 (en) 2016-07-21 2018-05-15 Micron Technology, Inc. Shifting data in sensing circuitry
US10303632B2 (en) 2016-07-26 2019-05-28 Micron Technology, Inc. Accessing status information
US10468087B2 (en) 2016-07-28 2019-11-05 Micron Technology, Inc. Apparatuses and methods for operations in a self-refresh state
US9990181B2 (en) 2016-08-03 2018-06-05 Micron Technology, Inc. Apparatuses and methods for random number generation
US11029951B2 (en) 2016-08-15 2021-06-08 Micron Technology, Inc. Smallest or largest value element determination
US10606587B2 (en) 2016-08-24 2020-03-31 Micron Technology, Inc. Apparatus and methods related to microcode instructions indicating instruction types
US10466928B2 (en) 2016-09-15 2019-11-05 Micron Technology, Inc. Updating a register in memory
US10387058B2 (en) 2016-09-29 2019-08-20 Micron Technology, Inc. Apparatuses and methods to change data category values
US10014034B2 (en) 2016-10-06 2018-07-03 Micron Technology, Inc. Shifting data in sensing circuitry
US10529409B2 (en) 2016-10-13 2020-01-07 Micron Technology, Inc. Apparatuses and methods to perform logical operations using sensing circuitry
US9805772B1 (en) 2016-10-20 2017-10-31 Micron Technology, Inc. Apparatuses and methods to selectively perform logical operations
US10373666B2 (en) 2016-11-08 2019-08-06 Micron Technology, Inc. Apparatuses and methods for compute components formed over an array of memory cells
US10423353B2 (en) 2016-11-11 2019-09-24 Micron Technology, Inc. Apparatuses and methods for memory alignment
US9761300B1 (en) 2016-11-22 2017-09-12 Micron Technology, Inc. Data shift apparatuses and methods
US10402340B2 (en) 2017-02-21 2019-09-03 Micron Technology, Inc. Memory array page table walk
US10268389B2 (en) 2017-02-22 2019-04-23 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10403352B2 (en) 2017-02-22 2019-09-03 Micron Technology, Inc. Apparatuses and methods for compute in data path
US10838899B2 (en) 2017-03-21 2020-11-17 Micron Technology, Inc. Apparatuses and methods for in-memory data switching networks
US11222260B2 (en) 2017-03-22 2022-01-11 Micron Technology, Inc. Apparatuses and methods for operating neural networks
US10185674B2 (en) 2017-03-22 2019-01-22 Micron Technology, Inc. Apparatus and methods for in data path compute operations
US10049721B1 (en) 2017-03-27 2018-08-14 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10147467B2 (en) 2017-04-17 2018-12-04 Micron Technology, Inc. Element value comparison in memory
US10043570B1 (en) 2017-04-17 2018-08-07 Micron Technology, Inc. Signed element compare in memory
US9997212B1 (en) 2017-04-24 2018-06-12 Micron Technology, Inc. Accessing data in memory
US10942843B2 (en) 2017-04-25 2021-03-09 Micron Technology, Inc. Storing data elements of different lengths in respective adjacent rows or columns according to memory shapes
US10236038B2 (en) 2017-05-15 2019-03-19 Micron Technology, Inc. Bank to bank data transfer
US10068664B1 (en) 2017-05-19 2018-09-04 Micron Technology, Inc. Column repair in memory
US10013197B1 (en) 2017-06-01 2018-07-03 Micron Technology, Inc. Shift skip
US10262701B2 (en) 2017-06-07 2019-04-16 Micron Technology, Inc. Data transfer between subarrays in memory
US10152271B1 (en) 2017-06-07 2018-12-11 Micron Technology, Inc. Data replication
US10318168B2 (en) 2017-06-19 2019-06-11 Micron Technology, Inc. Apparatuses and methods for simultaneous in data path compute operations
US10162005B1 (en) 2017-08-09 2018-12-25 Micron Technology, Inc. Scan chain operations
US10534553B2 (en) 2017-08-30 2020-01-14 Micron Technology, Inc. Memory array accessibility
US10416927B2 (en) 2017-08-31 2019-09-17 Micron Technology, Inc. Processing in memory
US10346092B2 (en) 2017-08-31 2019-07-09 Micron Technology, Inc. Apparatuses and methods for in-memory operations using timing circuitry
US10741239B2 (en) 2017-08-31 2020-08-11 Micron Technology, Inc. Processing in memory device including a row address strobe manager
US10409739B2 (en) 2017-10-24 2019-09-10 Micron Technology, Inc. Command selection policy
US10522210B2 (en) 2017-12-14 2019-12-31 Micron Technology, Inc. Apparatuses and methods for subarray addressing
US10332586B1 (en) 2017-12-19 2019-06-25 Micron Technology, Inc. Apparatuses and methods for subrow addressing
US10614875B2 (en) * 2018-01-30 2020-04-07 Micron Technology, Inc. Logical operations using memory cells
US10437557B2 (en) 2018-01-31 2019-10-08 Micron Technology, Inc. Determination of a match between data values stored by several arrays
US11194477B2 (en) 2018-01-31 2021-12-07 Micron Technology, Inc. Determination of a match between data values stored by three or more arrays
US10725696B2 (en) 2018-04-12 2020-07-28 Micron Technology, Inc. Command selection policy with read priority
US10440341B1 (en) 2018-06-07 2019-10-08 Micron Technology, Inc. Image processor formed in an array of memory cells
US10755766B2 (en) 2018-09-04 2020-08-25 Micron Technology, Inc. Performing logical operations using a logical operation component based on a rate at which a digit line is discharged
US11175915B2 (en) 2018-10-10 2021-11-16 Micron Technology, Inc. Vector registers implemented in memory
US10769071B2 (en) 2018-10-10 2020-09-08 Micron Technology, Inc. Coherent memory access
US10483978B1 (en) 2018-10-16 2019-11-19 Micron Technology, Inc. Memory device processing
US11184446B2 (en) 2018-12-05 2021-11-23 Micron Technology, Inc. Methods and apparatus for incentivizing participation in fog networks
CN111679785A (zh) * 2019-03-11 2020-09-18 三星电子株式会社 用于处理操作的存储器装置及其操作方法、数据处理系统
US11094371B2 (en) 2019-03-11 2021-08-17 Samsung Electronics Co., Ltd. Memory device for processing operation and method of operating the same
DE102020105628A1 (de) 2019-03-11 2020-09-17 Samsung Electronics Co., Ltd. Verfahren zur Durchführung interner Verarbeitungsvorgänge mit vordefinierter Protokollschnittstelle einer Speichervorrichtung
US10867655B1 (en) 2019-07-08 2020-12-15 Micron Technology, Inc. Methods and apparatus for dynamically adjusting performance of partitioned memory
US11360768B2 (en) 2019-08-14 2022-06-14 Micron Technolgy, Inc. Bit string operations in memory
US11449577B2 (en) 2019-11-20 2022-09-20 Micron Technology, Inc. Methods and apparatus for performing video processing matrix operations within a memory array
US11853385B2 (en) 2019-12-05 2023-12-26 Micron Technology, Inc. Methods and apparatus for performing diversity matrix operations within a memory array
US11227641B1 (en) 2020-07-21 2022-01-18 Micron Technology, Inc. Arithmetic operations in memory
CN112559039B (zh) * 2020-12-03 2022-11-25 类人思维(山东)智慧科技有限公司 一种计算机编程用指令集生成方法及系统
CN115065040B (zh) * 2022-08-09 2022-12-27 南方电网数字电网研究院有限公司 一种电力芯片可重构纳米继电器阵列及其控制方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5638317A (en) * 1990-08-22 1997-06-10 Texas Instruments Incorporated Hierarchical DRAM array with grouped I/O lines and high speed sensing circuit
US20020100032A1 (en) * 2000-08-07 2002-07-25 Paul Metzgen Software-to-hardware compiler
CN1379879A (zh) * 1999-09-17 2002-11-13 特博数据实验室公司 并行计算机体系结构,使用这种体系结构的信息处理单元
CN101127901A (zh) * 2006-08-18 2008-02-20 富士通株式会社 用于存储图像数据的存储装置和存储图像数据的方法
US20080178053A1 (en) * 2004-01-29 2008-07-24 Gorman Kevin W Hybrid built-in self test (bist) architecture for embedded memory arrays and an associated method
US20110093665A1 (en) * 2009-10-21 2011-04-21 Micron Technology, Inc. Memory having internal processors and methods of controlling memory access
US20130070549A1 (en) * 2011-09-16 2013-03-21 International Business Machines Corporation Single-Ended Sense Amplifier with Read-Assist
US20140250279A1 (en) * 2013-03-04 2014-09-04 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4380046A (en) 1979-05-21 1983-04-12 Nasa Massively parallel processor computer
JPS6032911B2 (ja) 1979-07-26 1985-07-31 株式会社東芝 半導体記憶装置
US4435792A (en) 1982-06-30 1984-03-06 Sun Microsystems, Inc. Raster memory manipulation apparatus
US4727474A (en) 1983-02-18 1988-02-23 Loral Corporation Staging memory for massively parallel processor
EP0214718A3 (en) 1985-07-22 1990-04-04 Alliant Computer Systems Corporation Digital computer
US5201039A (en) 1987-09-30 1993-04-06 Mitsubishi Denki Kabushiki Kaisha Multiple address-space data processor with addressable register and context switching
US4843264A (en) 1987-11-25 1989-06-27 Visic, Inc. Dynamic sense amplifier for CMOS static RAM
US5276643A (en) 1988-08-11 1994-01-04 Siemens Aktiengesellschaft Integrated semiconductor circuit
JPH0713858B2 (ja) 1988-08-30 1995-02-15 三菱電機株式会社 半導体記憶装置
US5023838A (en) 1988-12-02 1991-06-11 Ncr Corporation Random access memory device with integral logic capability
US4958378A (en) 1989-04-26 1990-09-18 Sun Microsystems, Inc. Method and apparatus for detecting changes in raster data
US5253308A (en) 1989-06-21 1993-10-12 Amber Engineering, Inc. Massively parallel digital image data processor using pixel-mapped input/output and relative indexed addressing
DE69132495T2 (de) 1990-03-16 2001-06-13 Texas Instruments Inc Verteilter Verarbeitungsspeicher
US5034636A (en) 1990-06-04 1991-07-23 Motorola, Inc. Sense amplifier with an integral logic function
US5210850A (en) 1990-06-15 1993-05-11 Compaq Computer Corporation Memory address space determination using programmable limit registers with single-ended comparators
JPH06103599B2 (ja) 1990-11-16 1994-12-14 三菱電機株式会社 半導体集積回路装置
US5325519A (en) 1991-10-18 1994-06-28 Texas Microsystems, Inc. Fault tolerant computer with archival rollback capabilities
FR2685973B1 (fr) 1992-01-03 1994-02-25 France Telecom Point memoire pour memoire associative.
KR950005095Y1 (ko) 1992-03-18 1995-06-22 문정환 양방향성 그로벌 비트 라인을 갖는 dram
JPH06215160A (ja) 1992-08-25 1994-08-05 Texas Instr Inc <Ti> データ処理方法および装置
KR950004854B1 (ko) 1992-10-08 1995-05-15 삼성전자 주식회사 반도체 메모리 장치
US5485629A (en) * 1993-01-22 1996-01-16 Intel Corporation Method and apparatus for executing control flow instructions in a control flow pipeline in parallel with arithmetic instructions being executed in arithmetic pipelines
US5440482A (en) 1993-03-25 1995-08-08 Taligent, Inc. Forward and reverse Boyer-Moore string searching of multilingual text having a defined collation order
US5485373A (en) 1993-03-25 1996-01-16 Taligent, Inc. Language-sensitive text searching system with modified Boyer-Moore process
US5369622A (en) 1993-04-20 1994-11-29 Micron Semiconductor, Inc. Memory with isolated digit lines
US5754478A (en) 1993-04-20 1998-05-19 Micron Technology, Inc. Fast, low power, write scheme for memory circuits using pulsed off isolation device
JP2663838B2 (ja) 1993-07-27 1997-10-15 日本電気株式会社 半導体集積回路装置
JP3252306B2 (ja) 1993-08-10 2002-02-04 株式会社日立製作所 半導体不揮発性記憶装置
JP3904244B2 (ja) 1993-09-17 2007-04-11 株式会社ルネサステクノロジ シングル・チップ・データ処理装置
US20010052062A1 (en) * 1994-03-01 2001-12-13 G. Jack Lipovski Parallel computer within dynamic random access memory
JP3251421B2 (ja) 1994-04-11 2002-01-28 株式会社日立製作所 半導体集積回路
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
JPH0831168A (ja) 1994-07-13 1996-02-02 Hitachi Ltd 半導体記憶装置
US5481500A (en) 1994-07-22 1996-01-02 International Business Machines Corporation Precharged bit decoder and sense amplifier with integrated latch usable in pipelined memories
US5615404A (en) 1994-10-31 1997-03-25 Intel Corporation System having independently addressable bus interfaces coupled to serially connected multi-ported signal distributors generating and maintaining frame based polling schedule favoring isochronous peripherals
US5638128A (en) 1994-11-08 1997-06-10 General Instrument Corporation Of Delaware Pixel interpolation filters for video decompression processor
US5724366A (en) 1995-05-16 1998-03-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device
KR0146530B1 (ko) 1995-05-25 1998-09-15 김광호 단속제어회로를 구비한 반도체 메모리 장치와 제어방법
US7301541B2 (en) 1995-08-16 2007-11-27 Microunity Systems Engineering, Inc. Programmable processor and method with wide operations
US6385634B1 (en) 1995-08-31 2002-05-07 Intel Corporation Method for performing multiply-add operations on packed data
JP2812262B2 (ja) 1995-08-31 1998-10-22 日本電気株式会社 連想記憶装置
US5696956A (en) * 1995-11-08 1997-12-09 Digital Equipment Corporation Dynamically programmable reduced instruction set computer with programmable processor loading on program number field and program number register contents
JP2817836B2 (ja) 1995-11-30 1998-10-30 日本電気株式会社 半導体メモリ装置
JP3356612B2 (ja) 1996-02-29 2002-12-16 インターナショナル・ビジネス・マシーンズ・コーポレーション 高速な輪郭スムージング方法及び装置
US6092186A (en) 1996-05-07 2000-07-18 Lucent Technologies Inc. Apparatus and method for aborting un-needed instruction fetches in a digital microprocessor device
US5915084A (en) 1996-09-30 1999-06-22 Advanced Micro Devices, Inc. Scannable sense amplifier circuit
US5991209A (en) 1997-04-11 1999-11-23 Raytheon Company Split sense amplifier and staging buffer for wide memory architecture
JP3592887B2 (ja) 1997-04-30 2004-11-24 株式会社東芝 不揮発性半導体記憶装置
US6510098B1 (en) 1997-05-28 2003-01-21 Cirrus Logic, Inc. Method and apparatus for transferring data in a dual port memory
JPH1115773A (ja) 1997-06-24 1999-01-22 Matsushita Electron Corp 半導体集積回路、コンピュータシステム、データ処理装置及びデータ処理方法
US5935263A (en) 1997-07-01 1999-08-10 Micron Technology, Inc. Method and apparatus for memory array compressed data testing
US6195734B1 (en) 1997-07-02 2001-02-27 Micron Technology, Inc. System for implementing a graphic address remapping table as a virtual register file in system memory
US6181698B1 (en) 1997-07-09 2001-01-30 Yoichi Hariguchi Network routing table using content addressable memory
US6760833B1 (en) * 1997-08-01 2004-07-06 Micron Technology, Inc. Split embedded DRAM processor
US6226738B1 (en) * 1997-08-01 2001-05-01 Micron Technology, Inc. Split embedded DRAM processor
US6025221A (en) 1997-08-22 2000-02-15 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP4060414B2 (ja) * 1997-10-03 2008-03-12 日本テキサス・インスツルメンツ株式会社 プログラムロード装置
US5991785A (en) 1997-11-13 1999-11-23 Lucent Technologies Inc. Determining an extremum value and its index in an array using a dual-accumulation processor
US5867429A (en) 1997-11-19 1999-02-02 Sandisk Corporation High density non-volatile flash memory without adverse effects of electric field coupling between adjacent floating gates
US6163862A (en) 1997-12-01 2000-12-19 International Business Machines Corporation On-chip test circuit for evaluating an on-chip signal using an external test signal
JP3488612B2 (ja) 1997-12-11 2004-01-19 株式会社東芝 センス増幅回路
US5986942A (en) 1998-01-20 1999-11-16 Nec Corporation Semiconductor memory device
JPH11260057A (ja) 1998-03-13 1999-09-24 Nec Corp 半導体記憶装置
JPH11265995A (ja) 1998-03-17 1999-09-28 Mitsubishi Electric Corp 半導体記憶装置
JPH11306751A (ja) 1998-04-22 1999-11-05 Toshiba Corp 半導体記憶装置
US6005799A (en) 1998-08-06 1999-12-21 Silicon Aquarius Methods and circuits for single-memory dynamic cell multivalue data storage
US6141286A (en) 1998-08-21 2000-10-31 Micron Technology, Inc. Embedded DRAM architecture with local data drivers and programmable number of data read and data write lines
US7409694B2 (en) 1998-09-09 2008-08-05 Microsoft Corporation Highly componentized system architecture with loadable virtual memory manager
JP2000173269A (ja) 1998-12-08 2000-06-23 Mitsubishi Electric Corp 半導体記憶装置
KR100381968B1 (ko) 1998-12-30 2004-03-24 주식회사 하이닉스반도체 고속동작용디램
US6389507B1 (en) 1999-01-15 2002-05-14 Gigabus, Inc. Memory device search system and method
US5999435A (en) 1999-01-15 1999-12-07 Fast-Chip, Inc. Content addressable memory device
US6134164A (en) 1999-04-22 2000-10-17 International Business Machines Corp. Sensing circuit for a memory cell array
US6741104B2 (en) 1999-05-26 2004-05-25 Micron Technology, Inc. DRAM sense amplifier for low voltages
US6157578A (en) 1999-07-15 2000-12-05 Stmicroelectronics, Inc. Method and apparatus for accessing a memory device
US6208544B1 (en) 1999-09-09 2001-03-27 Harris Corporation Content addressable memory cell providing simultaneous read and compare capability
US6578058B1 (en) 1999-10-06 2003-06-10 Agilent Technologies, Inc. System and method for comparing values from target systems
US7124221B1 (en) 1999-10-19 2006-10-17 Rambus Inc. Low latency multi-level communication interface
US6418498B1 (en) 1999-12-30 2002-07-09 Intel Corporation Integrated system management memory for system management interrupt handler independent of BIOS and operating system
JP4627103B2 (ja) 2000-01-18 2011-02-09 富士通セミコンダクター株式会社 半導体記憶装置及びその制御方法
WO2001057875A1 (fr) 2000-02-04 2001-08-09 Hitachi, Ltd. Dispositif semi-conducteur
AU2001239907A1 (en) 2000-02-29 2001-09-12 Stephen J. Guerreri Method and apparatus for building a memory image
US7028170B2 (en) 2000-03-08 2006-04-11 Sun Microsystems, Inc. Processing architecture having a compare capability
JP3983969B2 (ja) 2000-03-08 2007-09-26 株式会社東芝 不揮発性半導体記憶装置
US6678678B2 (en) 2000-03-09 2004-01-13 Braodcom Corporation Method and apparatus for high speed table search
JP3822412B2 (ja) 2000-03-28 2006-09-20 株式会社東芝 半導体記憶装置
US6965648B1 (en) 2000-05-04 2005-11-15 Sun Microsystems, Inc. Source synchronous link integrity validation
CN1307647C (zh) 2000-07-07 2007-03-28 睦塞德技术公司 动态随机存取存储器、存储器器件及其执行读命令的方法
US6466499B1 (en) 2000-07-11 2002-10-15 Micron Technology, Inc. DRAM sense amplifier having pre-charged transistor body nodes
WO2002017262A2 (en) 2000-08-21 2002-02-28 United States Postal Services Delivery point validation system
US6301164B1 (en) 2000-08-25 2001-10-09 Micron Technology, Inc. Antifuse method to repair columns in a prefetched output memory architecture
US6704828B1 (en) 2000-08-31 2004-03-09 Micron Technology, Inc. System and method for implementing data pre-fetch having reduced data lines and/or higher data rates
US6948056B1 (en) 2000-09-28 2005-09-20 Intel Corporation Maintaining even and odd array pointers to extreme values by searching and comparing multiple elements concurrently where a pointer is adjusted after processing to account for a number of pipeline stages
US6304477B1 (en) 2001-01-31 2001-10-16 Motorola, Inc. Content addressable magnetic random access memory
US6563754B1 (en) 2001-02-08 2003-05-13 Integrated Device Technology, Inc. DRAM circuit with separate refresh memory
US6650158B2 (en) 2001-02-21 2003-11-18 Ramtron International Corporation Ferroelectric non-volatile logic elements
US6807614B2 (en) 2001-07-19 2004-10-19 Shine C. Chung Method and apparatus for using smart memories in computing
US6970988B1 (en) * 2001-07-19 2005-11-29 Chung Shine C Algorithm mapping, specialized instructions and architecture features for smart memory computing
US7546438B2 (en) 2001-07-19 2009-06-09 Chung Shine C Algorithm mapping, specialized instructions and architecture features for smart memory computing
ITRM20010531A1 (it) 2001-08-31 2003-02-28 Micron Technology Inc Dispositivo rilevatore a bassa potenza e alta tensione per memorie ditipo flash.
US7260672B2 (en) 2001-09-07 2007-08-21 Intel Corporation Using data stored in a destructive-read memory
US7062689B2 (en) 2001-12-20 2006-06-13 Arm Limited Method and apparatus for memory self testing
US20040073773A1 (en) 2002-02-06 2004-04-15 Victor Demjanenko Vector processor architecture and methods performed therein
US6707729B2 (en) 2002-02-15 2004-03-16 Micron Technology, Inc. Physically alternating sense amplifier activation
WO2003088033A1 (en) 2002-04-09 2003-10-23 University Of Rochester Multiplier-based processor-in-memory architectures for image and graphics processing
JP2003331598A (ja) 2002-05-13 2003-11-21 Mitsubishi Electric Corp 半導体記憶装置
US7406494B2 (en) 2002-05-14 2008-07-29 Texas Instruments Incorporated Method of generating a cycle-efficient bit-reverse index array for a wireless communication system
JP2003346484A (ja) 2002-05-23 2003-12-05 Mitsubishi Electric Corp 不揮発性半導体記憶装置
US6789099B2 (en) 2002-06-10 2004-09-07 International Business Machines Corporation Sense-amp based adder with source follower evaluation tree
GB0215034D0 (en) * 2002-06-28 2002-08-07 Critical Blue Ltd Architecture generation method
US7028136B1 (en) * 2002-08-10 2006-04-11 Cisco Technology, Inc. Managing idle time and performing lookup operations to adapt to refresh requirements or operational rates of the particular associative memory or other devices used to implement the system
US7054178B1 (en) 2002-09-06 2006-05-30 Etron Technology, Inc. Datapath architecture for high area efficiency
US6987693B2 (en) 2002-09-24 2006-01-17 Sandisk Corporation Non-volatile memory and method with reduced neighboring field errors
US7079407B1 (en) 2002-10-18 2006-07-18 Netlogic Microsystems, Inc. Content addressable memory (CAM) device including match line sensing
US6765834B2 (en) 2002-11-19 2004-07-20 Hewlett-Packard Development Company, L.P. System and method for sensing memory cells of an array of memory cells
KR100546307B1 (ko) 2002-12-05 2006-01-26 삼성전자주식회사 글로벌 입출력라인을 프리차지 및/또는 이퀄라이징하기위한 프리차지 회로를 구비하는 반도체 장치 및프리차지 및/또는 이퀄라이즈하는 트랜지스터의 레이아웃
US6731542B1 (en) 2002-12-05 2004-05-04 Advanced Micro Devices, Inc. Circuit for accurate memory read operations
US6888372B1 (en) 2002-12-20 2005-05-03 Altera Corporation Programmable logic device with soft multiplier
WO2004059651A2 (en) 2002-12-27 2004-07-15 Solid State System Co., Ltd. Nonvolatile memory unit with specific cache
US7346903B2 (en) 2003-02-04 2008-03-18 Sun Microsystems, Inc. Compiling and linking modules of a cycle-based logic design
US6768679B1 (en) 2003-02-10 2004-07-27 Advanced Micro Devices, Inc. Selection circuit for accurate memory read operations
US6819612B1 (en) 2003-03-13 2004-11-16 Advanced Micro Devices, Inc. Apparatus and method for a sense amplifier circuit that samples and holds a reference voltage
US6865122B2 (en) 2003-04-11 2005-03-08 Intel Corporation Reclaiming blocks in a block-alterable memory
US7447720B2 (en) 2003-04-23 2008-11-04 Micron Technology, Inc. Method for finding global extrema of a set of bytes distributed across an array of parallel processing elements
US7574466B2 (en) 2003-04-23 2009-08-11 Micron Technology, Inc. Method for finding global extrema of a set of shorts distributed across an array of parallel processing elements
US7454451B2 (en) 2003-04-23 2008-11-18 Micron Technology, Inc. Method for finding local extrema of a set of values for a parallel processing element
US9015390B2 (en) 2003-04-25 2015-04-21 Micron Technology, Inc. Active memory data compression system and method
DE10319271A1 (de) 2003-04-29 2004-11-25 Infineon Technologies Ag Speicher-Schaltungsanordnung und Verfahren zur Herstellung
JP3898152B2 (ja) 2003-05-27 2007-03-28 ローム株式会社 演算機能付き記憶装置および演算記憶方法
EP1665286B8 (en) 2003-09-04 2007-09-12 Nxp B.V. Integrated circuit and a method of cache remapping
US6928377B2 (en) 2003-09-09 2005-08-09 International Business Machines Corporation Self-test architecture to implement data column redundancy in a RAM
US6956770B2 (en) 2003-09-17 2005-10-18 Sandisk Corporation Non-volatile memory and method with bit line compensation dependent on neighboring operating modes
US7177183B2 (en) 2003-09-30 2007-02-13 Sandisk 3D Llc Multiple twin cell non-volatile memory array and logic block structure and method therefor
US7913125B2 (en) 2003-11-04 2011-03-22 Lsi Corporation BISR mode to test the redundant elements and regular functional memory to avoid test escapes
US6950771B1 (en) 2003-12-09 2005-09-27 Xilinx, Inc. Correlation of electrical test data with physical defect data
US7401281B2 (en) 2004-01-29 2008-07-15 International Business Machines Corporation Remote BIST high speed test and redundancy calculation
JP4819316B2 (ja) 2004-02-23 2011-11-24 ルネサスエレクトロニクス株式会社 半導体装置
US7088606B2 (en) 2004-03-10 2006-08-08 Altera Corporation Dynamic RAM storage techniques
US7020017B2 (en) 2004-04-06 2006-03-28 Sandisk Corporation Variable programming of non-volatile memory
US7120063B1 (en) 2004-05-07 2006-10-10 Spansion Llc Flash memory cell and methods for programming and erasing
US8522205B2 (en) 2004-05-18 2013-08-27 Oracle International Corporation Packaging multiple groups of read-only files of an application's components into multiple shared libraries
JP2006127460A (ja) 2004-06-09 2006-05-18 Renesas Technology Corp 半導体装置、半導体信号処理装置、およびクロスバースイッチ
US7061817B2 (en) 2004-06-30 2006-06-13 Micron Technology, Inc. Data path having grounded precharge operation and test compression capability
US7116602B2 (en) 2004-07-15 2006-10-03 Micron Technology, Inc. Method and system for controlling refresh to avoid memory cell data losses
US7434024B2 (en) 2004-08-30 2008-10-07 Ati Technologies, Inc. SIMD processor with register addressing, buffer stall and methods
GB0420004D0 (en) * 2004-09-09 2004-10-13 Koninkl Philips Electronics Nv Interconnections in SIMD processor architectures
US20060069849A1 (en) 2004-09-30 2006-03-30 Rudelic John C Methods and apparatus to update information in a memory
US7685365B2 (en) 2004-09-30 2010-03-23 Intel Corporation Transactional memory execution utilizing virtual memory
US20060149804A1 (en) 2004-11-30 2006-07-06 International Business Machines Corporation Multiply-sum dot product instruction with mask and splat
US7230851B2 (en) 2004-12-23 2007-06-12 Sandisk Corporation Reducing floating gate to floating gate coupling effect
KR100673901B1 (ko) 2005-01-28 2007-01-25 주식회사 하이닉스반도체 저전압용 반도체 메모리 장치
US7543119B2 (en) 2005-02-10 2009-06-02 Richard Edward Hessel Vector processor
US7624313B2 (en) 2005-03-28 2009-11-24 Hewlett-Packard Development Company, L.P. TCAM BIST with redundancy
US7187585B2 (en) 2005-04-05 2007-03-06 Sandisk Corporation Read operation for non-volatile storage that includes compensation for coupling
US7196928B2 (en) 2005-04-05 2007-03-27 Sandisk Corporation Compensating for coupling during read operations of non-volatile memory
US7193898B2 (en) 2005-06-20 2007-03-20 Sandisk Corporation Compensation currents in non-volatile memory read operations
KR100720644B1 (ko) 2005-11-17 2007-05-21 삼성전자주식회사 메모리 장치 및 메모리 그 동작 방법
JP4804479B2 (ja) 2005-12-13 2011-11-02 スパンション エルエルシー 半導体装置およびその制御方法
JP5129450B2 (ja) 2006-01-16 2013-01-30 ルネサスエレクトロニクス株式会社 情報処理装置
US8077533B2 (en) 2006-01-23 2011-12-13 Freescale Semiconductor, Inc. Memory and method for sensing data in a memory using complementary sensing scheme
JP4989900B2 (ja) 2006-01-31 2012-08-01 ルネサスエレクトロニクス株式会社 並列演算処理装置
US7400532B2 (en) 2006-02-16 2008-07-15 Micron Technology, Inc. Programming method to reduce gate coupling interference for non-volatile memory
KR100755370B1 (ko) 2006-04-17 2007-09-04 삼성전자주식회사 반도체 메모리 장치
TW200828333A (en) 2006-04-28 2008-07-01 Samsung Electronics Co Ltd Sense amplifier circuit and sense amplifier-based flip-flop having the same
US7752417B2 (en) 2006-06-05 2010-07-06 Oracle America, Inc. Dynamic selection of memory virtualization techniques
US7372715B2 (en) 2006-06-14 2008-05-13 Micron Technology, Inc. Architecture and method for NAND flash memory
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7724559B2 (en) 2006-07-14 2010-05-25 International Business Machines Corporation Self-referenced match-line sense amplifier for content addressable memories
US7885119B2 (en) 2006-07-20 2011-02-08 Sandisk Corporation Compensating for coupling during programming
US7443729B2 (en) 2006-07-20 2008-10-28 Sandisk Corporation System that compensates for coupling based on sensing a neighbor using coupling
US7692466B2 (en) 2006-08-18 2010-04-06 Ati Technologies Ulc Sense amplifier based flip-flop
US7596781B2 (en) * 2006-10-16 2009-09-29 International Business Machines Corporation Register-based instruction optimization for facilitating efficient emulation of an instruction stream
US7805587B1 (en) 2006-11-01 2010-09-28 Nvidia Corporation Memory addressing controlled by PTE fields
US8151082B2 (en) 2007-12-06 2012-04-03 Fusion-Io, Inc. Apparatus, system, and method for converting a storage request into an append data storage command
US7471536B2 (en) 2006-12-08 2008-12-30 Texas Instruments Incorporated Match mismatch emulation scheme for an addressed location in a CAM
US7460387B2 (en) 2007-01-05 2008-12-02 International Business Machines Corporation eDRAM hierarchical differential sense amp
US7743303B2 (en) 2007-01-22 2010-06-22 Micron Technology, Inc. Defective memory block remapping method and system, and memory device and processor-based system using same
US8255890B2 (en) * 2007-02-14 2012-08-28 The Mathworks, Inc. Media for performing parallel processing of distributed arrays
US7937535B2 (en) 2007-02-22 2011-05-03 Arm Limited Managing cache coherency in a data processing apparatus
US7804718B2 (en) 2007-03-07 2010-09-28 Mosaid Technologies Incorporated Partial block erase architecture for flash memory
US7492640B2 (en) 2007-06-07 2009-02-17 Sandisk Corporation Sensing with bit-line lockout control in non-volatile memory
JP2009009665A (ja) 2007-06-29 2009-01-15 Elpida Memory Inc 半導体記憶装置
US7996749B2 (en) 2007-07-03 2011-08-09 Altera Corporation Signal loss detector for high-speed serial interface of a programmable logic device
US7489543B1 (en) 2007-07-25 2009-02-10 Micron Technology, Inc. Programming multilevel cell memory arrays
US7694195B2 (en) 2007-08-14 2010-04-06 Dell Products L.P. System and method for using a memory mapping function to map memory defects
US7869273B2 (en) 2007-09-04 2011-01-11 Sandisk Corporation Reducing the impact of interference during programming
US7787319B2 (en) 2007-09-06 2010-08-31 Innovative Silicon Isi Sa Sense amplifier circuitry for integrated circuit having memory cell array, and method of operating same
US8042082B2 (en) 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US7965564B2 (en) 2007-09-18 2011-06-21 Zikbit Ltd. Processor arrays made of standard memory cells
US7663928B2 (en) 2007-10-09 2010-02-16 Ememory Technology Inc. Sense amplifier circuit having current mirror architecture
US8156299B2 (en) 2007-10-19 2012-04-10 Virident Systems Inc. Managing memory systems containing components with asymmetric characteristics
US7924628B2 (en) 2007-11-14 2011-04-12 Spansion Israel Ltd Operation of a non-volatile memory array
US7979667B2 (en) 2007-12-10 2011-07-12 Spansion Llc Memory array search engine
US7755960B2 (en) 2007-12-17 2010-07-13 Stmicroelectronics Sa Memory including a performance test circuit
TWI336890B (en) 2007-12-21 2011-02-01 Nat Univ Tsing Hua Built-in self-repair method for nand flash memory and system thereof
US8495438B2 (en) 2007-12-28 2013-07-23 Texas Instruments Incorporated Technique for memory imprint reliability improvement
US7808854B2 (en) 2008-02-19 2010-10-05 Kabushiki Kaisha Toshiba Systems and methods for data transfers between memory cells
JP5194302B2 (ja) 2008-02-20 2013-05-08 ルネサスエレクトロニクス株式会社 半導体信号処理装置
US8332580B2 (en) 2008-04-02 2012-12-11 Zikbit Ltd. System, method and apparatus for memory with embedded associative section for computations
US20090254694A1 (en) 2008-04-02 2009-10-08 Zikbit Ltd. Memory device with integrated parallel processing
US7957206B2 (en) 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US8339824B2 (en) 2008-07-02 2012-12-25 Cooke Laurence H Nearest neighbor serial content addressable memory
US8417921B2 (en) 2008-08-15 2013-04-09 Apple Inc. Running-min and running-max instructions for processing vectors using a base value from a key element of an input vector
US8555037B2 (en) 2008-08-15 2013-10-08 Apple Inc. Processing vectors using wrapping minima and maxima instructions in the macroscalar architecture
US8259509B2 (en) 2008-08-18 2012-09-04 Elpida Memory, Inc. Semiconductor memory device and method with auxiliary I/O line assist circuit and functionality
ITRM20080543A1 (it) 2008-10-09 2010-04-10 Micron Technology Inc Architettura e metodo per la programmazione di memorie.
KR101596283B1 (ko) 2008-12-19 2016-02-23 삼성전자 주식회사 개선된 로컬 입출력라인 프리차아지 스킴을 갖는 반도체 메모리 장치
KR101622922B1 (ko) 2009-03-06 2016-05-20 삼성전자 주식회사 개선된 로컬 입출력라인 프리차아지 스킴을 갖는 반도체 메모리 장치
US8484276B2 (en) 2009-03-18 2013-07-09 International Business Machines Corporation Processing array data on SIMD multi-core processor architectures
US9535876B2 (en) * 2009-06-04 2017-01-03 Micron Technology, Inc. Conditional operation in an internal processor of a memory device
KR20100134235A (ko) 2009-06-15 2010-12-23 삼성전자주식회사 반도체 메모리 장치
US7898864B2 (en) 2009-06-24 2011-03-01 Sandisk Corporation Read operation for memory with compensation for coupling based on write-erase cycles
US8412985B1 (en) 2009-06-30 2013-04-02 Micron Technology, Inc. Hardwired remapped memory
US8412987B2 (en) 2009-06-30 2013-04-02 Micron Technology, Inc. Non-volatile memory to store memory remap information
US9076527B2 (en) 2009-07-16 2015-07-07 Mikamonu Group Ltd. Charge sharing in a TCAM array
US8238173B2 (en) 2009-07-16 2012-08-07 Zikbit Ltd Using storage cells to perform computation
JP4951041B2 (ja) 2009-08-06 2012-06-13 株式会社東芝 半導体記憶装置
JP5568133B2 (ja) 2009-08-18 2014-08-06 ダウ コーニング コーポレーション 多層経皮パッチ
US8059438B2 (en) 2009-08-28 2011-11-15 International Business Machines Corporation Content addressable memory array programmed to perform logic operations
US8077532B2 (en) 2009-09-02 2011-12-13 Micron Technology, Inc. Small unit internal verify read in a memory device
US8482975B2 (en) 2009-09-14 2013-07-09 Micron Technology, Inc. Memory kink checking
US8495465B1 (en) 2009-10-15 2013-07-23 Apple Inc. Error correction coding over multiple memory pages
US9477636B2 (en) 2009-10-21 2016-10-25 Micron Technology, Inc. Memory having internal processors and data communication methods in memory
WO2011048522A2 (en) 2009-10-21 2011-04-28 Zikbit Ltd. Neighborhood operations for parallel processing
US8650232B2 (en) 2009-10-26 2014-02-11 Via Technologies, Inc. System and method for determination of a horizontal minimum of digital values
KR101634340B1 (ko) 2009-11-03 2016-06-28 삼성전자주식회사 반도체 메모리 장치의 프로그램 방법
US8583896B2 (en) 2009-11-13 2013-11-12 Nec Laboratories America, Inc. Massively parallel processing core with plural chains of processing elements and respective smart memory storing select data received from each chain
KR20110054773A (ko) 2009-11-18 2011-05-25 삼성전자주식회사 비트라인 디스털번스를 개선하는 반도체 메모리 장치
US8089815B2 (en) 2009-11-24 2012-01-03 Sandisk Technologies Inc. Programming memory with bit line floating to reduce channel-to-floating gate coupling
US8605015B2 (en) 2009-12-23 2013-12-10 Syndiant, Inc. Spatial light modulator with masking-comparators
JP2011146102A (ja) 2010-01-15 2011-07-28 Elpida Memory Inc 半導体装置及びデータ処理システム
CN102141905B (zh) 2010-01-29 2015-02-25 上海芯豪微电子有限公司 一种处理器体系结构
US8164942B2 (en) 2010-02-01 2012-04-24 International Business Machines Corporation High performance eDRAM sense amplifier
US8533245B1 (en) 2010-03-03 2013-09-10 Altera Corporation Multipliers with a reduced number of memory blocks
EP2564306A4 (en) 2010-04-27 2017-04-26 Cornell University System and methods for mapping and searching objects in multidimensional space
KR101119371B1 (ko) 2010-04-29 2012-03-06 주식회사 하이닉스반도체 반도체 메모리 장치 및 이의 동작 방법
US8559232B2 (en) 2010-05-03 2013-10-15 Aplus Flash Technology, Inc. DRAM-like NVM memory array and sense amplifier design for high temperature and high endurance operation
US8549182B2 (en) * 2010-06-23 2013-10-01 International Business Machines Corporation Store/store block instructions for communicating with adapters
US8351278B2 (en) 2010-06-23 2013-01-08 International Business Machines Corporation Jam latch for latching memory array output data
KR101143471B1 (ko) 2010-07-02 2012-05-11 에스케이하이닉스 주식회사 센스앰프 및 이를 포함하는 반도체 장치
US20120017039A1 (en) 2010-07-16 2012-01-19 Plx Technology, Inc. Caching using virtual memory
US8462532B1 (en) 2010-08-31 2013-06-11 Netlogic Microsystems, Inc. Fast quaternary content addressable memory cell
US8347154B2 (en) 2010-09-21 2013-01-01 International Business Machines Corporation Use of hashing function to distinguish random and repeat errors in a memory system
US8904115B2 (en) 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
US8332367B2 (en) 2010-10-20 2012-12-11 International Business Machines Corporation Parallel data redundancy removal
KR101148352B1 (ko) 2010-11-02 2012-05-21 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그의 동작 방법
JP5528987B2 (ja) 2010-11-11 2014-06-25 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US8553482B2 (en) 2010-11-29 2013-10-08 Apple Inc. Sense amplifier and sense amplifier latch having common control
US8538954B2 (en) * 2011-01-25 2013-09-17 Hewlett-Packard Development Company, L.P. Aggregate function partitions for distributed processing
WO2012104674A1 (en) 2011-01-31 2012-08-09 Freescale Semiconductor, Inc. Integrated circuit device and method for determining an index of an extreme value within an array of values
KR20120088973A (ko) 2011-02-01 2012-08-09 삼성전자주식회사 로컬 센스앰프 회로 및 이를 포함하는 반도체 메모리 장치
JP2012174016A (ja) 2011-02-22 2012-09-10 Renesas Electronics Corp データ処理装置およびそのデータ処理方法
JP5259765B2 (ja) 2011-03-29 2013-08-07 株式会社東芝 不揮発性半導体メモリ
US8710863B2 (en) * 2011-04-21 2014-04-29 Microchip Technology Incorporated Configurable logic cells
US8725730B2 (en) 2011-05-23 2014-05-13 Hewlett-Packard Development Company, L.P. Responding to a query in a data processing system
US8650447B1 (en) * 2011-07-14 2014-02-11 Altera Corporation Apparatus and methods for controlled error injection
US8706958B2 (en) 2011-09-01 2014-04-22 Thomas Hein Data mask encoding in data bit inversion scheme
US20140247673A1 (en) 2011-10-28 2014-09-04 Naveen Muralimanohar Row shifting shiftable memory
US8891297B2 (en) 2011-11-01 2014-11-18 Micron Technology, Inc. Memory cell sensing
KR101321481B1 (ko) 2011-11-04 2013-10-28 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이를 위한 테스트 회로
US9830158B2 (en) 2011-11-04 2017-11-28 Nvidia Corporation Speculative execution and rollback
KR20130052971A (ko) 2011-11-14 2013-05-23 삼성전자주식회사 비휘발성 메모리 장치의 동작 방법
WO2013078085A1 (en) 2011-11-22 2013-05-30 Mips Technologies, Inc. Processor with kernel mode access to user space virtual addresses
CN105955704B (zh) 2011-11-30 2018-12-04 英特尔公司 用于提供向量横向比较功能的指令和逻辑
KR20130072869A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 프리차지 회로 및 비휘발성 메모리 장치
US20140108480A1 (en) 2011-12-22 2014-04-17 Elmoustapha Ould-Ahmed-Vall Apparatus and method for vector compute and accumulate
US8806139B2 (en) * 2012-01-20 2014-08-12 International Business Machines Corporation Cache set replacement order based on temporal set recording
CN102662634B (zh) * 2012-03-21 2014-10-29 杭州中天微系统有限公司 非阻塞发射和执行的存储器访问执行装置
US20130286705A1 (en) 2012-04-26 2013-10-31 David B. Grover Low power content addressable memory hitline precharge and sensing circuit
US8938603B2 (en) 2012-05-31 2015-01-20 Samsung Electronics Co., Ltd. Cache system optimized for cache miss detection
US20130332707A1 (en) 2012-06-07 2013-12-12 Intel Corporation Speed up big-number multiplication using single instruction multiple data (simd) architectures
US8792279B2 (en) * 2012-11-09 2014-07-29 Sandisk Technologies Inc. Architectures for data analytics using computational NAND memory
US8811085B2 (en) * 2012-11-09 2014-08-19 Sandisk Technologies Inc. On-device data analytics using NAND flash based intelligent memory
US9026719B2 (en) * 2012-11-15 2015-05-05 Elwha, Llc Intelligent monitoring for computation in memory
KR102062301B1 (ko) 2013-01-03 2020-01-03 삼성전자주식회사 메모리 장치의 페이지 복사 방법 및 메모리 시스템의 페이지 관리 방법
US20140215185A1 (en) 2013-01-29 2014-07-31 Atmel Norway Fetching instructions of a loop routine
US20140258628A1 (en) * 2013-03-11 2014-09-11 Lsi Corporation System, method and computer-readable medium for managing a cache store to achieve improved cache ramp-up across system reboots
US9171153B2 (en) 2013-05-17 2015-10-27 Hewlett-Packard Development Company, L.P. Bloom filter with memory element
US9619230B2 (en) * 2013-06-28 2017-04-11 International Business Machines Corporation Predictive fetching and decoding for selected instructions
US8964496B2 (en) 2013-07-26 2015-02-24 Micron Technology, Inc. Apparatuses and methods for performing compare operations using sensing circuitry
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9153305B2 (en) 2013-08-30 2015-10-06 Micron Technology, Inc. Independently addressable memory array address spaces
US9019785B2 (en) 2013-09-19 2015-04-28 Micron Technology, Inc. Data shifting via a number of isolation devices
US9449675B2 (en) 2013-10-31 2016-09-20 Micron Technology, Inc. Apparatuses and methods for identifying an extremum value stored in an array of memory cells
US9430191B2 (en) 2013-11-08 2016-08-30 Micron Technology, Inc. Division operations for memory
US20150270015A1 (en) 2014-03-19 2015-09-24 Micron Technology, Inc. Memory mapping
US9934856B2 (en) 2014-03-31 2018-04-03 Micron Technology, Inc. Apparatuses and methods for comparing data patterns in memory
CN106415522B (zh) 2014-05-08 2020-07-21 美光科技公司 存储器内轻量一致性
EP3140743B1 (en) 2014-05-08 2021-11-24 Micron Technology, INC. Hybrid memory cube system interconnect directory-based cache coherence methodology
US9786335B2 (en) 2014-06-05 2017-10-10 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9711207B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9910787B2 (en) 2014-06-05 2018-03-06 Micron Technology, Inc. Virtual address table
US9711206B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9496023B2 (en) 2014-06-05 2016-11-15 Micron Technology, Inc. Comparison operations on logical representations of values in memory
US9779019B2 (en) 2014-06-05 2017-10-03 Micron Technology, Inc. Data storage layout
US9449674B2 (en) 2014-06-05 2016-09-20 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9830999B2 (en) 2014-06-05 2017-11-28 Micron Technology, Inc. Comparison operations in memory
US9455020B2 (en) 2014-06-05 2016-09-27 Micron Technology, Inc. Apparatuses and methods for performing an exclusive or operation using sensing circuitry
US9704540B2 (en) 2014-06-05 2017-07-11 Micron Technology, Inc. Apparatuses and methods for parity determination using sensing circuitry
US10074407B2 (en) 2014-06-05 2018-09-11 Micron Technology, Inc. Apparatuses and methods for performing invert operations using sensing circuitry

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5638317A (en) * 1990-08-22 1997-06-10 Texas Instruments Incorporated Hierarchical DRAM array with grouped I/O lines and high speed sensing circuit
CN1379879A (zh) * 1999-09-17 2002-11-13 特博数据实验室公司 并行计算机体系结构,使用这种体系结构的信息处理单元
US20020100032A1 (en) * 2000-08-07 2002-07-25 Paul Metzgen Software-to-hardware compiler
US20080178053A1 (en) * 2004-01-29 2008-07-24 Gorman Kevin W Hybrid built-in self test (bist) architecture for embedded memory arrays and an associated method
CN101127901A (zh) * 2006-08-18 2008-02-20 富士通株式会社 用于存储图像数据的存储装置和存储图像数据的方法
US20110093665A1 (en) * 2009-10-21 2011-04-21 Micron Technology, Inc. Memory having internal processors and methods of controlling memory access
US20130070549A1 (en) * 2011-09-16 2013-03-21 International Business Machines Corporation Single-Ended Sense Amplifier with Read-Assist
US20140250279A1 (en) * 2013-03-04 2014-09-04 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022121287A1 (zh) * 2020-12-11 2022-06-16 上海阵量智能科技有限公司 命令下发方法、装置、处理设备、计算机设备及存储介质

Also Published As

Publication number Publication date
US10061590B2 (en) 2018-08-28
WO2016112151A1 (en) 2016-07-14
US20220269509A1 (en) 2022-08-25
TW201636832A (zh) 2016-10-16
US10782980B2 (en) 2020-09-22
EP3243137A1 (en) 2017-11-15
US20180365020A1 (en) 2018-12-20
EP3243137A4 (en) 2018-08-01
US20240036877A1 (en) 2024-02-01
US11726791B2 (en) 2023-08-15
EP3243137B1 (en) 2020-11-25
CN107209665B (zh) 2020-12-04
TWI645336B (zh) 2018-12-21
CN112379930A (zh) 2021-02-19
US11334362B2 (en) 2022-05-17
US20210004237A1 (en) 2021-01-07
US20160196142A1 (en) 2016-07-07

Similar Documents

Publication Publication Date Title
CN107209665A (zh) 产生并执行控制流
US11314429B2 (en) Apparatuses and methods for operations using compressed and decompressed data
US11693783B2 (en) Apparatuses and methods for cache operations
US11755206B2 (en) Bank to bank data transfer
US10698734B2 (en) Apparatuses and methods to determine timing of operations
US10929283B2 (en) Apparatuses and methods for transferring data
US11468944B2 (en) Utilization of data stored in an edge section of an array
US11055026B2 (en) Updating a register in memory
CN110675898B (zh) 用于逻辑/存储器装置的设备及方法
US10977033B2 (en) Mask patterns generated in memory from seed vectors
US9905276B2 (en) Control of sensing components in association with performing operations
EP3111449B1 (en) Write operations in spin transfer torque memory
US20190347031A1 (en) Apparatuses and methods for data movement
US10878856B2 (en) Data transfer between subarrays in memory
CN108713196A (zh) 使用位向量运算装置进行的数据传送
US11514957B2 (en) Bank to bank data transfer
CN109427384B (zh) 存储器内处理
JPS5977574A (ja) ベクトル・プロセツサ

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant