CN106632918A - 嵌段共聚物和相关光致抗蚀剂组合物以及形成电子装置的方法 - Google Patents

嵌段共聚物和相关光致抗蚀剂组合物以及形成电子装置的方法 Download PDF

Info

Publication number
CN106632918A
CN106632918A CN201610640174.3A CN201610640174A CN106632918A CN 106632918 A CN106632918 A CN 106632918A CN 201610640174 A CN201610640174 A CN 201610640174A CN 106632918 A CN106632918 A CN 106632918A
Authority
CN
China
Prior art keywords
methyl
block copolymer
block
group
monomer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610640174.3A
Other languages
English (en)
Other versions
CN106632918B (zh
Inventor
J·W·萨克莱
K·杜
P·特雷福纳斯三世
I·布莱基
A·K·惠特克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Queensland UQ
Rohm and Haas Electronic Materials LLC
Original Assignee
University of Queensland UQ
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Queensland UQ, Rohm and Haas Electronic Materials LLC filed Critical University of Queensland UQ
Publication of CN106632918A publication Critical patent/CN106632918A/zh
Application granted granted Critical
Publication of CN106632918B publication Critical patent/CN106632918B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F8/00Chemical modification by after-treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D153/00Coating compositions based on block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Coating compositions based on derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/281Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing only one oxygen, e.g. furfuryl (meth)acrylate or 2-methoxyethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/382Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2438/00Living radical polymerisation
    • C08F2438/03Use of a di- or tri-thiocarbonylthio compound, e.g. di- or tri-thioester, di- or tri-thiocarbamate, or a xanthate as chain transfer agent, e.g . Reversible Addition Fragmentation chain Transfer [RAFT] or Macromolecular Design via Interchange of Xanthates [MADIX]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Graft Or Block Polymers (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明涉及一种适用于电子束和远紫外线光刻的嵌段共聚物,其包括具有来源于碱溶解度增强型单体和频带外吸收型单体的单元的第一嵌段,和具有低表面能的第二嵌段。来源于频带外吸收型单体的重复单元允许共聚物在150到400纳米波长范围内大量吸收。当与光致抗蚀剂无规聚合物一起并入光致抗蚀剂组合物中时,所述嵌段共聚物自动分离以形成可有效屏蔽频带外辐射的顶层。

Description

嵌段共聚物和相关光致抗蚀剂组合物以及形成电子装置的 方法
技术领域
本发明涉及嵌段共聚物,其适用作光致抗蚀剂组合物的组分。
背景技术
远紫外线(EUV)光刻和电子束光刻是有前景的在20纳米和更小的规模下的图案化技术。EUV辐射源还产生较长的波长辐射,所谓的频带外(OOB)辐射,其可使成像效能显著恶化。因此,需要可减少频带外辐射的不良影响而不会过度降低其它光刻反应的光致抗蚀剂组合物。
发明内容
一个实施例是嵌段共聚物,其包含:第一嵌段,其包含来源于频带外吸收型单体(其不包括经氟取代的酯基)和碱溶解度增强型单体的重复单元;和第二嵌段,其具有15到34毫焦耳/米2的表面能;其中由嵌段共聚物铸成的膜在150到400纳米范围内的波长下的消光系数k是0.1到0.5。
另一实施例是包含嵌段共聚物的光致抗蚀剂组合物。
另一实施例是包含光致抗蚀剂组合物的膜。
另一实施例是形成电子装置的方法,其包含:(a)在基板上涂覆光致抗蚀剂组合物的层;(b)使光致抗蚀剂组合物层按图案逐次方式暴露于电子束或远紫外线辐射;以及(c)将经曝光的光致抗蚀剂组合物层显影以提供抗蚀剂浮雕图像。
下文详细描述这些和其它实施例。
附图说明
图1是聚(ECPMA-共-BzMA)的可逆加成片段化链转移(RAFT)合成的反应方案。
图2是RAFT合成型聚(ECPMA-共-BzMA)的链端改性(端基移除)的反应方案。
图3是具有甲基丙烯酸酯单体的聚(ECPMA-共-BzMA)的RAFT链延伸的反应方案。
图4是RAFT合成型聚[(ECPMA-共-BzMA)-b-TFEMA]的质子核磁共振(1H NMR)谱图。
图5是从聚[(ECPMA-共-BzMA)-b-TFEMA]的RAFT端基移除的反应方案。
图6是在RAFT端基移除之前和之后,聚[(ECPMA-共-BzMA)-b-TFEMA]的紫外线-可见光(UV-VIS)谱图。
图7A、7B和7C呈现掺合光致抗蚀剂膜的飞行时间次级离子质谱分析(time of flightsecondary ion mass spectrometry;TOF-SIMS)结果。图7A展示CBP-4(1号)、CBP-4+10%聚(ECPMA32-共-BzMA34)-b-(MA-4-HFA-CHOH)26(4号)和聚(ECPMA32-共-BzMA34)-b-(MA-4-HFA-CHOH)26(6号)的PC1和PC2上正性质谱的得分曲线。图7B展示1号、4号和6号样品的CF3 +片段的强度。图7C展示以统计方式评估的1号、4号和6号的C9H11 +强度。
图8是低表面能聚合物(LSEP)聚(ECPMA32-共-BzMA34-b-HFACHOH26)的102纳米厚度膜的消光系数与波长的关系曲线。
图9是含有零、2、5和10重量百分比嵌段共聚物的光致抗蚀剂涂层的消光系数与波长的关系曲线。
图10是在碱性显影期间,光致抗蚀剂层的作为时间的函数的石英晶体微天平(QCM)频率变化和耗散变化的曲线。
图11是聚(ECPMA-共-BzMA-共-(TPS DFES))的RAFT合成的反应方案。
图12是聚(ECPMA-共-BzMA-共-(TPS DFES))的RAFT链延伸的反应方案。
图13是从RAFT合成型聚[(ECPMA-共-BzMA-共-(TPS DFES))-b-(MA-4-HFA-CHOH)]的端基移除的反应方案。
图14是在RAFT端基移除之后,聚[(ECPMA-共-BzMA-共-(TPS DFES))-b-(MA-4-HFA-CHOH)]的1H NMR谱图。
图15呈现在RAFT端基移除之前和之后,聚[(ECPMA-共-BzMA-共-(TPS DFES))-b-(MA-4-HFA-CHOH)]的正规化UV-vis谱图。
图16A、16B和16C呈现用图16A CBP-4、图16B CBP-4+2%LSEP 8k(其中“LSEP 8k”是表7中的聚合物2)和图16C CBP-4+10%LSEP 8k配制的抗蚀剂的线条和空间图案的扫描电子显微照片。
具体实施方式
本发明人已确定可通过将特定嵌段共聚物并入光致抗蚀剂组合物来降低频带外辐射的不良影响。嵌段共聚物包括第一嵌段,其具有来源于频带外吸收型单体和碱溶解度增强型单体的重复单元,和第二嵌段,其具有低表面能。当将包含光致抗蚀剂无规共聚物和嵌段共聚物的光致抗蚀剂组合物涂布在基板上并且干燥时,嵌段共聚物从无规共聚物自动分离并且形成可有效地阻断频带外辐射的顶层。
如本文中所使用,术语“(甲基)丙烯酸酯”意指丙烯酸酯或甲基丙烯酸酯。
如本文中所使用,术语“烃基”无论单独或作为另一术语的前缀、后缀或片段使用,是指仅含有碳和氢的残基,除非其特定地被标识为“经取代的烃基”。烃基残基可以是脂族或芳族、直链、环状、双环、分支链、饱和或不饱和的。其还可以含有脂族、芳族、直链、环状、双环、分支链、饱和以及不饱和烃部分的组合。当烃基残基被描述为经取代时,其可以含有除碳和氢以外的杂原子。
除非另有说明,否则术语“经取代”意指包括至少一个取代基,如卤素(即F、Cl、Br、I)、羟基、氨基、硫醇、羧基、羧酸酯基、酯(包括丙烯酸酯、甲基丙烯酸酯和内酯)、酰胺、腈、硫基、二硫基、硝基、C1-18烷基、C1-18烯基(包括降冰片烯基和金刚烷基)、C1-18烷氧基、C2-18烯氧基(包括乙烯基醚)、C6-18芳基、C6-18芳氧基、C7-18烷基芳基或C7-18烷基芳氧基。
如本文中所使用,术语“氟化”应理解为意指基团中并入一或多个氟原子。举例来说,在指示C1-18氟烷基的情况下,氟烷基可以包含一或多个氟原子,例如单个氟原子、两个氟原子(例如,如1,1-二氟乙基)、三个氟原子(例如,如2,2,2-三氟乙基)或在碳的每一个自由价处包含氟原子(例如,如全氟化基团,如-CF3、-C2F5、-C3F7或-C4F9)。
如本文中所使用,术语“烷基”包括直链烷基、分支链烷基、环状烷基,以及合并直链、分支链和环基的双向组合和三向组合的烷基。烷基可以是未经取代或经取代的。烷基的特定实例包括甲基、乙基、1-丙基、2-丙基、环丙基、1-丁基、2-丁基、2-甲基-1-丙基、叔丁基、环丁基、1-甲基环丙基、2-甲基环丙基、1-戊基、2-戊基、3-戊基、2-甲基-1-丁基、3-甲基-1-丁基、2-甲基-2-丁基、3-甲基-2-丁基、2,2-二甲基-1-丙基(新戊基)、环戊基、1-甲基环丁基、2-甲基环丁基、3-甲基环丁基、1,2-二甲基环丙基、2,2-二甲基环丙基、2,3-二甲基环丙基、1-己基、2-己基、3-己基、2-甲基-1-戊基、3-甲基-1-戊基、4-甲基-1-戊基、2-甲基-2-戊基、4-甲基-2-戊基、2-甲基-3-戊基、3-甲基-2-戊基、3-甲基-3-戊基、2,2-二甲基-1-丁基、3,3-二甲基-1-丁基、3,3-二甲基-2-丁基、2,3-二甲基-1-丁基、2,3-二甲基-2-丁基、1,2,2-三甲基环丙基、2,2,3-三甲基环丙基、(1,2-二甲基环丙基)甲基、(2,2-二甲基环丙基)甲基、1,2,3-三甲基环丙基、(2,3-二甲基环丙基)甲基、2,2-二甲基环丁基、2,3-二甲基环丁基、(1-甲基环丁基)甲基、1,2-二甲基环丁基、2,3-二甲基环丁基、(2-甲基环丁基)甲基、1,3-二甲基环丁基、2,4-二甲基环丁基、(3-甲基环丁基)甲基、1-甲基环戊基、2-甲基环戊基、环戊基甲基、环己基、1-降冰片烷基、2-降冰片烷基、3-降冰片烷基、1-金刚烷基、2-金刚烷基、八氢-1-并环戊二烯基、八氢-2-并环戊二烯基、八氢-3-并环戊二烯基、八氢-1-苯基-1-并环戊二烯基、八氢-2-苯基-2-并环戊二烯基、八氢-1-苯基-3-并环戊二烯基、八氢-2-苯基-3-并环戊二烯基、十氢-1-萘基、十氢-2-萘基、十氢-3-萘基、十氢-1-苯基-1-萘基、十氢-2-苯基-2-萘基、十氢-1-苯基-3-萘基以及十氢-2-苯基-3-萘基。
一个实施例是嵌段共聚物,其包含:第一嵌段,其包含来源于频带外吸收型单体(其不包括经氟取代的酯基)和碱溶解度增强型单体的重复单元;和第二嵌段,其具有15到34毫焦耳/米2的表面能;其中由嵌段共聚物铸成的膜在150到400纳米范围内的波长下的消光系数k是0.1到0.5。
第一嵌段包含来源于频带外吸收型单体的重复单元。如本文中所使用,术语“频带外吸收型单体”意指吸收辐射的波长比意图暴露光致抗蚀剂的辐射长的单体。举例来说,若暴露装置使用13.5纳米波长的远紫外线辐射,则吸收150到400纳米(确切地说,190到300纳米)波长范围内的紫外辐射的单体将是频带外吸收型单体。“频带外吸收型单体”提供在150到400纳米范围内具有吸光度的共聚物。具体来说,由嵌段共聚物铸成的膜在150到400纳米范围内的波长(即至少一种波长)下的消光系数k是0.1到0.5。在一些实施例中,在150到400纳米范围内,消光系数k的最大值是0.1至0.5。应了解,消光系数k在150到400纳米范围内的一些波长下可以小于0.1并且甚至是零。频带外吸收型单体不包括经氟取代的酯基。在一些实施例中,频带外吸收型单体包含未经取代或经取代的不含氟的C6-C18芳基、未经取代或经取代的C2-C17杂芳基、C5-C12二烯酮基团,或其组合。
在一些实施例中,频带外吸收型单体具有以下结构:
其中R1是氢或甲基;n是0、1、2、3或4;并且Ar1是未经取代或经取代的C6-C18芳基,其限制条件为经取代的C6-C18芳基不含氟。
频带外吸收型单体的特定实例包括
和其组合。
按第一嵌段中重复单元的总摩尔数计,第一嵌段可包含10到90摩尔百分比的量的来源于频带外吸收型单体的重复单元。在这一范围内,来源于频带外吸收型单体的重复单元的含量可以是20到80摩尔百分比,确切地说,30到70摩尔百分比。
按嵌段共聚物中重复单元的总摩尔数计,嵌段共聚物可包含5到70摩尔百分比的量的来源于频带外吸收型单体的重复单元。在这一范围内,来源于频带外吸收型单体的重复单元的含量可以是10到60摩尔百分比,确切地说,20到50摩尔百分比。
除来源于频带外吸收型单体的重复单元以外,第一嵌段还包含来源于碱溶解度增强型单体的重复单元。碱溶解度增强型单体的类别包括酸不稳定(甲基)丙烯酸酯、碱不稳定(甲基)丙烯酸酯、经pKa是2到12的基团取代的(甲基)丙烯酸酯和其组合。
在一些实施例中,碱溶解度增强型单体包含叔(甲基)丙烯酸酯。叔(甲基)丙烯酸酯包括例如
和其组合。
酸不稳定(甲基)丙烯酸酯更包括经缩醛和/或缩酮基团取代的(甲基)丙烯酸酯。这类单体包括例如
和其组合。
碱不稳定(甲基)丙烯酸酯包括经内酯取代的单体,例如
和其组合。
经pKa是2到12的基团取代的(甲基)丙烯酸酯包括经羧酸、苯酚、芳基磺酸、邻苯二甲酰亚胺、磺酰胺、磺酰亚胺以及醇取代的(甲基)丙烯酸酯。所属领域的技术人员可容易地确定包含这些酸性官能团之一的特定物物质是否具有2到12范围内的pKa值。经pKa是2到12的基团取代的(甲基)丙烯酸酯的特定实例包括例如
和其组合。
按第一嵌段中重复单元的总摩尔数计,第一嵌段可包含10到90摩尔百分比的量的来源于碱溶解度增强型单体的重复单元。在这一范围内,来源于碱溶解度增强型单体的重复单元的含量可以是20到80摩尔百分比,确切地说,30到70摩尔百分比。
按嵌段共聚物中重复单元的总摩尔数计,嵌段共聚物可包含5到70摩尔百分比的量的来源于碱溶解度增强型单体的重复单元。在这一范围内,来源于碱溶解度增强型单体的重复单元的含量可以是10到60摩尔百分比,确切地说,20到50摩尔百分比。
除来源于频带外吸收型单体和碱溶解度增强型单体的重复单元以外,第一嵌段可任选地更包含来源于光酸产生型单体的重复单元。可使用任何适用于形成EUV光致抗蚀剂共聚物的光酸产生型单体。这类单体的说明性实例包括
和其组合。
当第一嵌段包含来源于光酸产生型单体的重复单元时,按第一嵌段中重复单元的总摩尔数计,其可以2到20摩尔百分比的量存在。在这一范围内,来源于光酸产生型单体的重复单元的含量可以是4到15摩尔百分比,确切地说,5到12摩尔百分比。
按嵌段共聚物中重复单元的总摩尔数计,嵌段共聚物可任选地包含1到15摩尔百分比的量的来源于光酸产生型单体的重复单元。在这一范围内,来源于碱溶解度增强型单体的重复单元的含量可以是2到13摩尔百分比,确切地说,3到12摩尔百分比。
第二嵌段具有低表面能。具体来说,使用欧文斯-万特(Owens-Wendt)方法由水(18欧姆去离子水)、亚甲基碘(CH2I2)和二乙二醇的接触角测定,第二嵌段的表面能是15到34毫焦耳/米2,所述接触角是通过固着液滴法(Sessile Drop method)在接触角测角计上测量。在15到34毫焦耳/米2的范围内,表面能可以是15到30毫焦耳/米2,确切地说,15到26毫焦耳/米2
在一些实施例中,第二嵌段是使包含可聚合部分(低表面能部分)的单体聚合的产物。对用于第二嵌段的聚合物类型不存在特定限制。举例来说,当第二嵌段是聚酯嵌段时,可聚合部分可以是二醇、二羧酸或二酯。当第二嵌段是聚胺基甲酸酯嵌段时,可聚合部分可以是二异氰酸酯、二醇或异氰酸酯与醇的组合。当第二嵌段是聚碳酸酯嵌段时,可聚合部分可以是二醇(其通过与碳酸酯前驱体(如光气或二甲基碳酸酯或二苯基碳酸酯的反应来形成聚碳酸酯)。当第二嵌段是聚硅烷时,可聚合部分可以是二氯二烃基硅烷(其通过与如金属钠等试剂的还原聚合来形成聚硅烷)。当第二嵌段是聚二乙炔时,可聚合部分可以是联乙炔基团。当第二嵌段是聚醚时,可聚合部分可以是缩水甘油基。当第二嵌段是聚(亚苯基醚)时,可聚合部分可以是酚(羟苯基)基团。当第二嵌段是聚乙烯聚合物时,可聚合部分可以是乙烯基。当第二嵌段是聚烯丙基聚合物时,可聚合部分可以烯丙基。当第二嵌段是聚降冰片烯时,可聚合部分可以是降冰片烯基团。当第二嵌段是聚((甲基)丙烯酸酯)时,可聚合部分可以是(甲基)丙烯酰基。
用于形成第二嵌段的单体包含低表面能部分。低表面能部分的实例包括C10-C36烷基、C2-C12二烷基硅氧烷和C1-C12氟化烷基。
适用于形成低表面能嵌段的单体类别包括例如包含至少一个氟原子的(甲基)丙烯酸酯;包含至少十个未经取代的脂族碳原子的(甲基)丙烯酸酯;包含三烷基硅烷基、三烷氧基硅烷基、二烷基硅氧烷基团、三烷基硅氧烷基团或其组合的(甲基)丙烯酸酯;和其组合。
第二嵌段可包含来源于包含至少一个氟原子的(甲基)丙烯酸酯的重复单元。在一些实施例中,包含至少一个氟原子的(甲基)丙烯酸酯具有以下结构
其中p是0、1、2、3或4;R1是氢或甲基;R2是未经取代或经取代的C1-C18亚烃基;并且Rf是经至少一个氟原子取代并且任选地进一步经除氟以外的取代基取代的C1-C18烃基。
包含至少一个氟原子的(甲基)丙烯酸酯的特定实例包括
和其组合。
第二嵌段可包含来源于包含至少十个未经取代的脂族碳原子的(甲基)丙烯酸酯的重复单元。这类酯的特定实例包括
和其组合。
第二嵌段可包含来源于包含三烷基硅烷基、三烷氧基硅烷基、二烷基硅氧烷基团、三烷基硅氧烷基团或其组合的(甲基)丙烯酸酯的重复单元。这类酯的特定实例包括
和其组合。
按第一嵌段中重复单元的总摩尔数计,第二嵌段可包含50到100摩尔百分比的量的来源于低表面能单体的重复单元。在这一范围内,来源于低表面能单体的重复单元的含量可以是70到100摩尔百分比,确切地说,90到100摩尔百分比。
按嵌段共聚物中重复单元的总摩尔数计,嵌段共聚物可包含10到70摩尔百分比的量的来源于低表面能单体的重复单元。在这一范围内,来源于低表面能单体的重复单元的含量可以是15到50摩尔百分比,确切地说,20到40摩尔百分比。
不存在对于嵌段共聚物的分子量的特定限制。分子量特征可使用聚苯乙烯标准和四氢呋喃溶剂通过尺寸排阻色谱法来测定。在一些实施例中,嵌段共聚物的数目平均分子量是2,000到100,000道尔顿(Dalton)。在这一范围内,数目平均分子量可以是3,000到60,000道尔顿,确切地说,4,000到40,000道尔顿。具体来说,当使用本文中所描述的RAFT方法制备嵌段共聚物时,其可具有窄分子量分布。分子量分布可以由分散度表征,分散度是重量平均分子量与数目平均分子量的比率。在一些实施例中,嵌段共聚物的分散度(Mw/Mn)是1.05到1.2。在这一范围内,分散度可以是1.05到1.15。然而,嵌段共聚物无需窄分子量分布以发挥所欲功能。举例来说,在一些实施例中,嵌段共聚物的分散度是1.05到2。
在一些实施例中,使用选自由以下组成的群组的方法纯化嵌段共聚物:沉淀、过滤、溶剂交换、离心、倾析(包括多倾析)、离子交换和其组合。
另一实施例是包含嵌段共聚物的光致抗蚀剂组合物,所述嵌段共聚物呈任一种其上述变化形式。在一些实施例中,嵌段共聚物是光致抗蚀剂组合物中的唯一聚合物。在其它实施例中,光致抗蚀剂组合物包含嵌段共聚物与无规共聚物的组合。无规光致抗蚀剂共聚物在所属领域中已知并且可包括来源于单体的重复单元,所述单体包括酸不稳定单体、碱不稳定单体、经pKa是2到12的基团取代的单体和其组合。无规光致抗蚀剂共聚物可更包含来源于光酸产生型单体的重复单元。
当光致抗蚀剂组合物包含无规共聚物和嵌段共聚物时,其可按例如2:1到50:1的重量比使用。在这一范围内,无规共聚物与嵌段共聚物的重量比可以是4:1到40:1,确切地说,5:1到30:1,更确切地说,5:1到20:1。
光致抗蚀剂组合物可更包括一或多种光敏性组分,如光酸产生剂、光碱产生剂、光引发剂、具有或不具有结合光酸产生剂的其它基于(甲基)丙烯酸酯的聚合物、具有或不具有结合光酸产生剂的基于聚羟基苯乙烯的聚合物和其组合。
光酸产生剂通常包括适用于制备光致抗蚀剂目的的光酸产生剂。光酸产生剂包括例如非离子性肟和多种鎓离子盐。鎓离子包括例如未经取代和经取代的铵离子、未经取代和经取代的鏻离子、未经取代和经取代的鉮离子、未经取代和经取代的锑离子、未经取代和经取代的铋离子、未经取代和经取代的氧鎓离子、未经取代和经取代的锍离子、未经取代和经取代的硒离子、未经取代和经取代的碲离子、未经取代和经取代的氟鎓离子、未经取代和经取代的氯鎓离子、未经取代和经取代的溴鎓离子、未经取代和经取代的錪离子、未经取代和经取代的氨基重氮离子(经取代的氢叠氮基)、未经取代和经取代的氢氰基鎓离子(经取代的氰化氢)、未经取代和经取代的重氮烯离子(RN=N+R2)、未经取代和经取代的亚铵离子(R2C=N+R2)、具有两个双键取代基的季铵离子(R=N+=R)、硝鎓离子(NO2 +)、双(三芳基膦)亚铵离子((Ar3P)2N+)、具有一个三重结合之取代基的未经取代或经取代的叔铵(R≡NH+)、未经取代和经取代的腈鎓离子(RC≡NR+)、未经取代和经取代的重氮离子(N≡N+R)、具有两个部分双键取代基的叔铵离子 未经取代和经取代的吡啶鎓离子、具有一个三重结合之取代基和一个单结合之取代基的季铵离子(R≡N+R)、具有一个三重结合之取代基的叔氧鎓离子(R≡O+)、亚硝离子(N≡O+)、具有两个部分双键取代基的叔氧鎓离子哌喃鎓离子(C5H5O+)、具有一个三重结合之取代基的叔锍离子(R≡S+)、具有两个部分双键取代基的叔锍离子以及硫亚硝离子(N≡S+)。在一些实施例中,鎓离子选自未经取代和经取代的二芳基錪离子,和未经取代和经取代的三芳基锍离子。适合的鎓盐的实例可见于克里韦洛(Crivello)等人的美国专利第4,442,197号、克里韦洛的美国专利第4,603,101号和兹韦费尔(Zweifel)等人的美国专利第4,624,912号中。
适合的光酸产生剂是化学放大光致抗蚀剂领域中已知的并且包括例如:鎓盐,例如三氟甲烷磺酸三苯基锍、三氟甲烷磺酸(对叔丁氧基苯基)二苯基锍、三氟甲烷磺酸三(对叔丁氧基苯基)锍、对甲苯磺酸三苯基锍;硝基苯甲基衍生物,例如2-硝基苯甲基-对甲苯磺酸盐、2,6-二硝基苯甲基-对甲苯磺酸盐以及2,4-二硝基苯甲基-对甲苯磺酸盐;磺酸酯,例如1,2,3-三(甲烷磺酰基氧基)苯、1,2,3-三(三氟甲烷磺酰基氧基)苯以及1,2,3-三(对甲苯磺酰基氧基)苯;重氮甲烷衍生物,例如双(苯磺酰基)重氮甲烷、双(对甲苯磺酰基)重氮甲烷;乙二肟衍生物,例如双-O-(对甲苯磺酰基)-α-二甲基乙二肟和双-O-(正丁烷磺酰基)-α-二甲基乙二肟;N-羟基酰亚胺化合物的磺酸酯衍生物,例如N-羟基丁二酰亚胺甲磺酸酯、N-羟基丁二酰亚胺三氟甲磺酸酯;以及含卤素的三嗪化合物,例如2-(4-甲氧基苯基)-4,6-双(三氯甲基)-1,3,5-三嗪以及2-(4-甲氧基萘基)-4,6-双(三氯甲基)-1,3,5-三嗪。适合的光酸产生剂和特定实例进一步描述于桥本(Hashimoto)等人的美国专利第8,431,325号,第37栏,第11-47行和第41-91栏中。在一些实施例中,光酸产生剂是非聚合性。
光致抗蚀剂可包括光引发剂。光致抗蚀剂组合物中使用光引发剂以通过产生自由基来引发交联剂的聚合。适合的自由基光引发剂包括例如偶氮基化合物、含硫化合物、金属盐和络合物、肟、胺、多核化合物、有机羰基化合物和其混合物,如美国专利第4,343,885号,第13栏,第26行到第17栏,第18行中所描述;和9,10-蒽醌;1-氯蒽醌;2-氯蒽醌;2-甲基蒽醌;2-乙基蒽醌;2-叔丁基蒽醌;八甲基蒽醌;1,4-萘醌;9,10-菲醌;1,2-苯并蒽醌;2,3-苯并蒽醌;2-甲基-1,4-萘醌;2,3-二氯萘醌;1,4-二甲基蒽醌;2,3-二甲基蒽醌;2-苯基蒽醌;2,3-二苯基蒽醌;3-氯-2-甲基蒽醌;惹烯酮(retenequinone);7,8,9,10-四氢萘醌;以及1,2,3,4-四氢苯蒽-7,12-二酮。其它光引发剂描述于美国专利第2,760,863号中并且包括邻缩酮酮基醇,如安息香(benzoin)、新戊偶姻(pivaloin)、酮醇醚,例如安息香甲基和乙基醚;以及经α-烃取代的芳族酮醇,包括α-甲基安息香、α-烯丙基安息香和α-苯基安息香。也可以使用美国专利第2,850,445号;第2,875,047号;和第3,097,096号中公开的光可还原染料和还原剂,以及吩嗪、噁嗪和醌类别的染料;如美国专利第3 427,161号;第3,479,185号;和第3,549 367号中所描述的苯甲酮、具有氢供体的2,4,5-三苯基咪唑基和其混合物作为光引发剂。
光致抗蚀剂组合物可更包括表面活性剂。说明性表面活性剂包括氟化和非氟化表面活性剂,并且优选是非离子性。例示性氟化非离子性表面活性剂包括全氟C4表面活性剂,如可自3M Corporation购得的FC-4430和FC-4432表面活性剂;和氟二醇,如来自Omnova的POLYFOXTM PF-636、PF-6320、PF-656和PF-6520氟表面活性剂。
光致抗蚀剂组合物可更包括淬灭剂,其是非光可破坏的碱。这些抑止剂包括例如基于氢氧化物、羧酸盐、胺、亚胺和酰胺的抑止剂。这类淬灭剂包括C1-30有机胺、亚胺或酰胺;强碱(例如氢氧化物或醇盐)或弱碱(例如羧酸盐)的C1-30季铵盐。在一些实施例中,光致抗蚀剂组合物更包含选自由以下组成的群组的抑止剂:C1-30胺、C1-30酰胺和其组合。例示性抑止剂包括胺,如特罗格尔碱(Troger's base);位阻胺,如二氮杂双环十一烯(DBU)、二氮杂二环壬烯(DBN),以及四羟基异丙基二胺和叔丁基-4-羟基-1-哌啶羧酸盐;离子性抑止剂,包括季烷基铵盐,如氢氧化四丁铵(TBAH)、四甲基铵2-羟基苯甲酸(TMA OHBA)和乳酸四丁铵。适合的抑止剂进一步描述于桥本等人的美国专利第8,431,325号中。
光致抗蚀剂组分通常溶解于溶剂中以用于分配和涂布。例示性溶剂包括苯甲醚;醇,包括1-甲氧基-2-丙醇,和1-乙氧基-2-丙醇;酯,包括乙酸正丁酯、乳酸乙酯、乙酸1-甲氧基-2-丙基酯、丙酸甲氧基乙氧基酯和丙酸乙氧基乙氧基酯;酮,包括环己酮和2-庚酮;和其组合。按光致抗蚀剂组合物的总重量计,溶剂量可以是例如70到99重量百分比,确切地说,85到98重量百分比。
本发明更包括薄膜,其包含光致抗蚀剂组合物。薄膜可通过例如在未经底涂或经底涂的基板上旋涂来形成。在一些实施例中,薄膜具有50到200纳米的厚度。在一些实施例中,薄膜包含与空气接触的顶部表面,并且薄膜中至少50重量百分比嵌段共聚物存在于20纳米顶部表面内。
本发明更包括形成电子装置的方法,包含:(a)在基板上涂覆本文中所描述的任一种光阻组合物的层;(b)使光致抗蚀剂组合物层以图案逐次方式暴露于活化(例如紫外线或电子束)辐射;(c)将经曝光的光致抗蚀剂组合物层显影以提供抗蚀剂浮雕图像。方法可任选地更包括(d)在下伏基板中蚀刻抗蚀剂浮雕图案。
所述基板可以由如半导体(如硅或化合物半导体(例如III-V或II-VI))、玻璃、石英、陶瓷、铜等材料制成。典型地,基板是半导体晶圆,例如单晶硅或化合物半导体晶圆,其具有一或多个层以及在其表面上形成的图案化特征。下伏基底基板材料本身可任选地经图案化,例如当需要在基底基板材料中形成沟槽时。在基底基板材料上形成的层可以包括例如一或多个导电层,如铝层、铜层、钼层、钽层、钛层、钨层以及合金层、这类金属的氮化物层或硅化物层;掺杂非晶硅或掺杂多晶硅;一或多个电介质层,如氧化硅层、氮化硅层、氮氧化硅层或金属氧化物层;半导体层,如单晶硅;底层;抗反射层,如底部抗反射层;和其组合。所述层可以通过各种技术形成,例如化学气相沉积(chemical vapor deposition;CVD),如等离子增强型CVD、低压CVD或磊晶生长;物理气相沉积(physical vapor deposition;PVD),例如溅镀或蒸镀、电镀或旋涂。
将光致抗蚀剂组合物涂覆到基板上可以通过任何适合的方法完成,包括旋涂、喷涂、浸涂以及刀片刮抹。在一些实施例中,涂覆光致抗蚀剂组合物层是如下完成:使用涂布轨道旋涂溶剂中的光致抗蚀剂,其中将光致抗蚀剂组合物分配于旋转晶圆上。在分配期间,晶圆的旋转速度可以高达4,000转/分钟(rotations per minute;rpm),确切地说,500到3,000rpm,并且更确切地说,1,000到2,500rpm。旋转所涂布的晶圆以移除溶剂,并且在加热板上烘焙以从膜中移除残余溶剂和自由体积来使其密度均匀。
随后使用曝光工具(如步进器)来进行图案逐次曝光,其中膜通过图案掩模照射并且由此图案逐次曝光。在一些实施例中,方法使用先进曝光工具,其在能够实现高分辨率的波长下产生活化辐射,包括远紫外(EUV)或电子束(e束)辐射。应了解,使用活化辐射的曝光使曝光区域中的PAG分解并且产生酸和分解副产物,并且酸接着在曝光后烘烤(PEB)步骤期间实现聚合物中的化学变化(去阻断酸敏感性基团以产生碱可溶性基团,或者,催化曝光区域中的交联反应)。这类曝光工具的分辨率可以小于30纳米。
随后,曝光的光致抗蚀剂层的显影通过用能够选择性地移除膜的曝光部分(其中光致抗蚀剂是正型)或移除膜的未曝光部分(其中光致抗蚀剂在曝光区域中可交联,即,是负型)的适合的显影剂处理曝光层来实现。在一些实施例中,基于具有酸敏感性(可去保护)基团的聚合物,光致抗蚀剂是正型,并且显影剂优选是不含金属离子的四烷基铵氢氧化物溶液,例如水性0.26正态四甲基铵氢氧化物。或者,可通过使用适合的有机溶剂显影剂来进行负色调显影(NTD)。NTD引起移除光致抗蚀剂层的未曝光区域,留下曝光后区域(由于这些区域的反极性)。适合的NTD显影剂包括例如酮、酯、醚、烃和其混合物。其它适合的溶剂包括光致抗蚀剂组合物使用的溶剂。在一些实施例中,显影剂是2-庚酮或乙酸丁酯,如乙酸正丁酯。无论显影是正型或负型,皆通过显影形成图案。
当用于一或多种这类图案形成方法中时,光致抗蚀剂组合物可以用于制造电子和光电装置,例如记忆体装置、处理器晶片(包括中央处理单元或CPU)、图形晶片以及其它这类装置。
本发明至少包括以下实施例。
实施例1:一种嵌段共聚物,其包含:第一嵌段,其包含来源于频带外吸收型单体(其不包括经氟取代的酯基)和碱溶解度增强型单体的重复单元;和第二嵌段,其具有15到34毫焦耳/米2的表面能;其中由嵌段共聚物铸成的膜在150到400纳米范围内的波长下的消光系数k是0.1到0.5。
实施例2:根据实施例1所述的嵌段共聚物,其分散度(Mw/Mn)是1.05到1.2。
实施例3:根据实施例1或2所述的嵌段共聚物,其中频带外吸收型单体选自由以下组成的群组:未经取代或经取代的C6-C18芳基,其限制条件为经取代的C6-C18芳基不含氟;未经取代或经取代的C2-C17杂芳基;C5-C12二烯酮基团;和其组合。
实施例4:根据实施例1-3中任一项所述的嵌段共聚物,其中所述频带外吸收型单体具有以下结构
其中R1是氢或甲基;n是0、1、2、3或4;并且Ar1是未经取代或经取代的C6-C18芳基,其限制条件为经取代的C6-C18芳基不含氟。
实施例5:根据实施例1-4中任一项所述的嵌段共聚物,其中碱溶解度增强型单体选自由以下组成的群组:酸不稳定(甲基)丙烯酸酯、碱不稳定(甲基)丙烯酸酯、经pKa是2到12的基团取代的(甲基)丙烯酸酯和其组合。
实施例6:根据实施例1-5中任一项所述的嵌段共聚物,其中碱溶解度增强型单体包含叔(甲基)丙烯酸酯。
实施例7:根据实施例1-6中任一项所述的嵌段共聚物,其中第二嵌段是使包含可聚合部分和低表面能部分的单体聚合的产物,所述可聚合部分选自由以下组成的群组:乙烯基、烯丙基、降冰片烯基、(甲基)丙烯酰基、二醇、二羧酸、二酯、二异氰酸酯、异氰酸酯与醇的组合、硅烷基(二氯)(烃基)、联乙炔、缩水甘油基和羟苯基;并且所述低表面能部分选自由以下组成的群组:C10-C36烷基、C2-C12二烷基硅氧烷和C1-C12氟化烷基。
实施例8:根据实施例1-7中任一项所述的嵌段共聚物,其中第二嵌段包含来源于包含至少一个氟原子的(甲基)丙烯酸酯的重复单元,并且其中包含至少一个氟原子的(甲基)丙烯酸酯具有以下结构
其中p是0、1、2、3或4;R1是氢或甲基;R2是未经取代或经取代的C1-C18亚烃基;并且Rf是经至少一个氟原子取代并且任选地进一步经除氟以外的取代基取代的C1-C18烃基。
实施例9:根据实施例1-8中任一项所述的嵌段共聚物,其中第一嵌段更包含来源于光酸产生型单体的重复单元。
实施例10:一种光致抗蚀剂组合物,其包含根据实施例1-9中任一项所述的嵌段共聚物。
实施例11:根据实施例10所述的光致抗蚀剂组合物,其更包含光酸产生剂。
实施例12:根据实施例10或11所述的光致抗蚀剂组合物,其更包含选自由以下组成的群组抑止剂:C1-30胺、C1-30酰胺和其组合。
实施例13:一种薄膜,其包含根据技术方案10所述的光致抗蚀剂组合物,其中所述薄膜具有50到200纳米的厚度,其中所述薄膜包含与空气接触的顶部表面,并且其中薄膜中至少50重量百分比嵌段共聚物存在于20纳米顶部表面内。
实施例14:一种形成电子装置的方法,其包含:(a)在基板上涂覆根据实施例10-12中任一项所述的光致抗蚀剂组合物的层;(b)使光致抗蚀剂组合物层按图案逐次方式暴露于电子束或远紫外线辐射;和(c)将经曝光的光致抗蚀剂组合物层显影以提供抗蚀剂浮雕图像。
实例
表1提供这些实例中用于形成嵌段共聚物的第二嵌段的低表面能(甲基)丙烯酸酯单体的化学结构和缩写。
表1
缩写:TFEMA,甲基丙烯酸三氟乙酯;TFPMA,甲基丙烯酸四氟丙酯;PFBzMA,甲基丙烯酸五氟苯甲酯;C8F17MA,甲基丙烯酸十七氟-1-壬基酯;OFPMA,甲基丙烯酸八氟戊酯;MA-BTHB-OH,甲基丙烯酸4-三氟甲基-4-羟基-5,5,5-三氟-2-戊基酯;MA-BTHB-NB,2-甲基-丙烯酸5-(3,3,3-三氟-2-羟基-2-三氟甲基-丙基)-双环[2.2.1]庚-2-基酯;MA-4-HFA-CHOH,2-丙烯酸2-甲基-4-[2,2,2-三氟-1-羟基-1-(三氟甲基)乙基]环己基酯;MA-3,5-HFA-CHOH,2-丙烯酸2-甲基-3,5-双[2,2,2-三氟-1-羟基-1-(三氟甲基)乙基]环己基酯;MA-3,5-HFA-PHOH,2-丙烯酸2-甲基-3,5-双[2,2,2-三氟-1-羟基-1-(三氟甲基)乙基]苯基酯;C10MA,甲基丙烯酸癸酯;C12MA,甲基丙烯酸十二烷基酯;C18MA,甲基丙烯酸十八烷酰酯;TMSPMA,甲基丙烯酸三甲氧基硅烷基丙酯。
表2提供这些实例中使用的碱溶解度增强型单体的化学结构和缩写。
表2
缩写:ECPMA,甲基丙烯酸1-乙基-1-环戊酯;GPLMA,γ-丁内酯甲基丙烯酸酯;TBMA,甲基丙烯酸叔丁酯;EAdMA,甲基丙烯酸2-乙基-2-金刚烷基酯。
表3提供这些实例中使用的频带外吸收型单体的化学结构和缩写。
表3
缩写:BzMA,甲基苯甲酸苯甲酯;HNMA,(5-羟基-1-萘烯基)甲基丙烯酸甲酯。
表4提供这些实例中使用的光酸产生(PAG)型单体和光致抗蚀剂聚合物的化学结构和缩写。
表4
缩写:TPS DFES,2,2-二氟-2-磺酸根基乙基甲基丙烯酸三苯基锍。
通过RAFT技术合成聚(ECPMA-共-BzMA)统计共聚物。用于聚(ECPMA-共-BzMA)的RAFT合成的反应方案呈现于图1中。将甲基丙烯酸1-乙基环戊酯(ECPMA,1.597825g,0.008864摩尔)、甲基苯甲酸苯甲酯(BzMA,1.561925g,1.507851ml,0.008864摩尔)、4-氰基-4-[(十二烷基硫基硫羰基)硫基]戊酸(CDTPA,RAFT剂,39.3mg(91%纯度),0.89×10-4摩尔)、偶氮异丁腈(AIBN,引发剂,1.5mg,9×10-6摩尔)和THF(10mL)引入配备有磁性搅拌器的50mL舒伦克瓶(Schlenk flask)中([M]0:[mCTA]0:[Init]0=200:1:0.1)。反应混合物在冰浴中用氩气吹洗30分钟以移除氧气,并且接着在65℃下加热。根据1H NMR计算单体转化率并且通过在己烷中进行双沉淀来回收聚合物。使用聚苯乙烯标准,通过质子核磁共振光谱(1H NMR)、紫外线-可见光光谱学(UV-VIS)和尺寸排阻色谱(SEC)表征聚合物。SEC指示数目平均分子量(Mn)是9,500并且分散度(Mw/Mn)是1.09。
通过一锅式氨解和迈克尔加成反应(Michael-addition reaction)进行链端改性。用于RAFT聚合物的链端改性的反应方案呈现于图2中。如下进行链端改性。将聚(ECPMA-共-BzMA)(0.6g,5×10-5摩尔)、甲基丙烯酸三氟乙酯(168.1毫克,20当量)和二甲基苯基膦(DMPP;20.7毫克,3当量)溶解于四氢呋喃(THF,10毫升)中。将溶液放入冰浴中并且用氩气吹扫25分钟。己胺(50.6毫克,10当量)、三乙胺(TEA,50.6微升,10当量)和THF(5毫升)的溶液也在氩气下吹扫(15分钟)并且接着在氩气下添加到前述溶液中。在室温下搅拌溶液24小时。产物在氮气流下干燥,溶解于丙酮中,通过在己烷中连续沉淀(两次)来纯化,并且在真空中干燥。
具有甲基丙烯酸酯单体的聚(ECPMA-共-BzMA)的RAFT链延伸。用于具有甲基丙烯酸酯单体的聚(ECPMA-共-BzMA)的RAFT链延伸的反应方案呈现于图3中。如下进行在作为巨链转移剂(macroCTA)的聚(ECPMA-共-BzMA)存在下,甲基丙烯酸酯单体的RAFT聚合。将甲基丙烯酸三氟乙酯(TFEMA,0.2克,0.000297摩尔)、macroCTA(0.4759克,4.0×10-5摩尔)、AIBN(1.3毫克,8×10-6摩尔)和THF(5毫升)引入配备有磁性搅拌器的10毫升舒伦克瓶中([M]0:[mCTA]0:[Init]0=30:1:0.2)。反应混合物在冰浴中用氩气吹洗20分钟以移除氧气,并且接着在65℃下加热。在20小时之后,通过1H NMR计算单体转化率并且通过在己烷中进行双沉淀来回收聚合物。通过1H NMR、UV-VIS和SEC来表征聚合物。1H NMR谱图呈现于图4中。SEC指示数目平均分子量是13,000并且分散度是1.15。
RAFT聚合物的端基移除。用于从链延伸RAFT聚合物的端基移除的反应方案呈现于图5中。如下进行链延伸RAFT聚合物的端基裂解。将聚[(ECPMA-共-BzMA)-b-TFEMA](0.6克,4×10-5摩尔)、AIBN(131.3毫克,8×10-4摩尔,20当量)和THF(15毫升)引入配备有磁性搅拌器的30mL舒伦克瓶中。反应混合物在冰浴中用氩气吹洗20分钟以移除氧气,并且接着在70℃下加热。在20小时之后,通过在己烷中进行双沉淀来回收聚合物。通过1H NMR、UV-VIS和SEC来表征聚合物。在端基移除之前和之后的共聚物的UV-VIS谱图呈现于图6中。
掺合具有低表面能聚合物和抑止剂的光致抗蚀剂聚合物(CBP-4)。如下制备光致抗蚀剂溶液。将光致抗蚀剂聚合物CBP-4(36mg)、端基裂解的聚(ECPMA-共-BzMA-b-TFEMA)(4毫克)和三异丙醇胺(0.22毫克,20摩尔百分比相对于100摩尔PAG)引入20毫升小瓶中。添加丙二醇单甲基醚乙酸酯(PGMEA;785微升,760毫克)以使溶液的CBP-4聚合物加添加剂聚合物的总浓度是5重量百分比。低表面能聚合物添加剂的含量是聚合物的总重量的10重量百分比。
旋涂过程。如下进行代表性旋涂过程。首先,用丙酮和异丙醇冲洗硅晶圆。接着将硅晶圆置放在100℃加热板上保持10分钟。接着通过O2等离子处理来进一步清洗硅晶圆。将以来自MicroChemicals的TI/HDMS底漆形式获得的粘着促进剂以3000转/分钟(rpm)的速度历时20秒旋涂在干净的硅晶圆上,接着在120℃加热板上烘烤2分钟以移除溶剂。在底涂层上以3000rpm的速度历时60秒旋涂含有光致抗蚀剂、低表面能聚合物和抑止剂的溶液。在将光致抗蚀剂溶液涂布到晶圆上之后,其通过在100℃下加热90秒来干燥,以便移除溶剂直到光致抗蚀剂涂层无粘性。
曝光。具有低表面能聚合物组合物层的光致抗蚀剂接着图案化暴露于活化辐射,视曝光工具和膜组合物的组分而定,曝光能量通常在约10到约100微库仑/厘米2(μC/cm2)范围内。典型地,使用电子束微影技术作为产生图案的曝光工具。
曝光后烘烤和显影。在曝光之后,在约100℃到120℃范围内的温度向烘烤具有低表面能聚合物组合物层的光致抗蚀剂60到120秒。随后,通过用水性碱性显影剂(如0.26N四甲基铵氢氧化物)处理30到60秒来使光致抗蚀剂显影,接着进行30到60秒水冲洗。
测量接触角和计算表面自由能。低表面能聚合物(LSEP)从CBP-4基质的分离可通过表面自由能变化来反映,所述表面自由能变化可通过测量表面上的静态接触角来获得。用于制备用以测量接触角的样品的典型程序如下。除了在光致抗蚀剂层之前不在晶圆上涂覆粘着促进剂以外,使用与上述类似的程序在干净的Si晶圆上旋涂样品的溶液。样品的典型溶液包含CBP-4光致抗蚀剂(36mg)、端基裂解的聚[(ECPMA-共-BzMA)-b-TFEMA](4mg)和作为溶剂的PGMEA(760mg),其中低表面能聚合物的含量是聚合物的总重量的10重量百分比。在室温下,使用Dataphysics OCA20接触角系统测量接触角。将去离子水或二碘甲烷液滴(0.5mL)滴到样品表面上以进行湿润状态的测量。根据福克斯方法(Fowkes method)计算表面能,各样品的表面自由能γs计算如下。
其中水和二碘甲烷用作测量液体:水被视为具有主要极性组分的液体( 并且)并且二碘甲烷作为分散液体( )。θd是样品表面上二碘甲烷的接触角并且θp是样品表面上的水接触角。根据实验资料θd和θp,具有不同LSEP的CBP-4的表面自由能计算和概述于表5中。应注意,与平坦的CBP-4表面相比,表面自由能在CBP-4/LSEP表面的所有情况下降低。
表5.与不同LSEP掺合的CBP-4的接触角和表面自由能
表面组合物分析。本发明中还进行TOF-SIMS表征以证明LSEP从CBP-4基质分离。用于TOF-SIMS表征的样品的制备方法如第[0029]段中所描述。TOF-SIM表征的目标是确定LSEP添加剂是否可从光致抗蚀剂基质分离并且扩散到界面中。TOF-SIMS已由于其高表面特异性(2nm)和提供结构信息的能力而被选择。用PHI TRIFT V nanoTOF仪器(PHI ElectronicsLtd,USA)进行TOF-SIMS测量。使用30keV、脉冲197Au+原离子束进行从各样品表面溅镀和电离物质。使用PHI专利双横杆电荷中和系统(使用低能量氩离子(至多10eV)与电子(至多25eV)的组合)提供极佳的电荷中和效能。用CH3 +、C2H5 +和C3H7 +进行正性质量轴校准,而CH-、C2H-和F-用于校正负性质量轴。以聚束式模式历时60秒从100μm×100μm的区域获得谱图。相应的总原离子剂量小于1×1012个离子/厘米2,并且因此符合静态SIMS能谱。典型地获得在标称m/z=27道尔顿(C2H3 +)下m/Δm>7000的质量分辨。
通过从不重叠的样品区域收集的五个正性和五负性质谱来表征各样品。146个正性和68个负性片段用于计算,包括一些独特分子离子。独立地针对正性和负性模式中所选择的强度的总和正规化各峰值。借助于主要组分分析(PCA)来处理多重质谱。使用PLS Toolbox(3.0版本)(Eigenvector Research,Inc.,Manson,WA)以及MATLAB软件v.6.5(MathWorksInc.,Natick,MA)进行PCA。所选择的正规化强度还经历平均值分析。
图7(a)展示CBP-4(1号)、CBP-4+10%聚[(ECPMA32-共-BzMA34)-b-(MA-4-HFA-CHOH)26](4号)和聚[(ECPMA32-共-BzMA34)-b-(MA-4-HFA-CHOH)26](6号)的主要组分1(PC1)和主要组分2(PC2)上的正性质谱的得分曲线。含有10重量%聚[(ECPMA32-共-BzMA34)-b-(MA-4-HFA-CHOH)26]的掺合物的总体表面化学反应与纯添加剂6号极类似。尽管甚至在通过TOF-SIMS测量时存在透明度,但大部分CBP-4光致抗蚀剂表面“部分”似乎隐藏在LSEP6号的薄膜后。图7(b)中呈现的CF3 +片段的强度遵循以下顺序:1号<4号<6号。图7(c)展示C9H11 +(CBP-4的结构标记物)1号、4号和6号的以统计方式评估的强度。还证实表面4号的表面组合物与表面6号的结构类似。这些结果表明LSEP聚合物聚[(ECPMA-共-BzMA)-b-(MA-4-HFA-CHOH)]从CBP-4基质分离并且成功地相分离。
测量光学特性。为了检验在LSEP添加剂存在下对频带外光的阻断作用,通过VUV VASE椭偏仪测量薄膜的光学特性。用于VUV VASE椭偏仪表征的样品的制备方法与第[0095]段(“掺合光致抗蚀剂聚合物(CBP-4)与低表面能聚合物和抑止剂”)以及第[0096]段(“旋涂过程”)中所描述的相同。在J.A.WoollamTM VUV VASETM光谱学椭偏仪上测量光学常数n和k以及薄膜厚度。使用1.2到8.3eV的光谱范围(参考λ150-1000nm的波长范围)和65℃-75℃的入射角进行VUV-VASE测量,以5℃作为步进以最大化精确性。整个光学路径围封于干燥氮气吹扫环境内以消除环境水蒸汽以及氧气的吸收。这一研究中的模型化和拟合程序由以下内容组成:首先使用柯西层(Cauchy layer)测定厚度以及300nm到1000nm透光光谱区间的光学常数,并且接着使用逐点方法拟合150nm到300nm范围内的曲线以便获得光学常数消光系数‘k’以及折射率‘n’。
一旦已测定消光系数k,则可使用方程式4-6测定光学吸收参数吸光度(A)和吸光系数(α)。
α=4πk/λ 方程式4
T=IT/I0=e-αcl 方程式5
A=log10I0/IT 方程式6
其中T是透射比,α是消光常数,c是浓度并且l是光路径长度。根据这一计算方法,LSEP的作为波长的函数的消光系数标绘于图8中。此外,具有0%、2%、5%和10%低表面能聚合物(LSEP)聚[(ECPMA-共-BzMA)-b-(MA-4-HFA-CHOH)]的CBP-4的光学特性列举于表6中。作为波长的函数的消光系数标绘于图9中。
表6.具有0%、2%、5%和10%低表面能聚合物(LSEP)聚(ECPMA-共-BzMA-b-HFACHOH)的CBP-4的光学特性
测量光致抗蚀剂和LSEP层的溶解。使用石英晶体微天平和耗散监测(QCM-D,Q-Sense)实时监测光致抗蚀剂和LSEP层的溶解。基于谐振频率和耗散变化来研究显影过程期间的薄膜溶解。发现在溶解过程期间形成两个膨胀层(在区域Ι和II中,图10)。薄膜展示在显影期间由LSEP和光致抗蚀剂层的溶解差异引起的复杂的膨胀行为。
中说明用于QCM-D表征的样品溶液的制备。首先通过在丙酮和异丙醇中冲洗,接着在100℃加热板上干燥10分钟来清洗Q-Sense氧化硅或金感测器。在清洗之后,其与聚合物溶液一起旋涂到80-90nm厚度。接着将经聚合物涂布的感测器置放于100℃加热板上保持90秒以移除残余溶剂。随后,其经历UV光历时5-10分钟并且再置放于100℃加热板上保持60秒,以便产生光酸催化的去保护反应。最终,经聚合物涂布的石英晶体在经设计以使液体在晶体上流动的流模块中显影,同时测量晶体的谐振频率。在本发明中,流动液体是0.26N四甲基铵氢氧化物(TMAH)溶液并且选择流动速率是200μL/min。应注意,所述方法证明适用于了解复杂的显影过程的工具,但对得出绝对显影率值并不理想。
合成PAG结合的LSEP。用于聚(ECPMA-共-BzMA-共-(DFES))无规共聚物的RAFT合成的反应方案呈现于图11中。将甲基丙烯酸1-乙基环戊酯(0.18026g,0.001mol)和甲基苯甲酸苯甲酯(0.17621克,0.001摩尔)、TPS DFES(0.109458克,2.22×10-4摩尔)、CDTPA(9.86毫克(91%纯度),0.89×10-4摩尔)、AIBN(0.4毫克,2×10-6摩尔)和THF(3毫升)引入配备有磁性搅拌器的10mL舒伦克瓶中([M]0:[mCTA]0:[Init]0=100:1:0.1)。反应混合物在冰浴中用氩气吹洗30分钟以移除氧气,并且接着在65℃下加热。根据1H NMR计算单体转化率并且通过在己烷中进行双沉淀来回收聚合物。通过1H NMR、UV-VIS和SEC来表征聚合物。
具有低表面能甲基丙烯酸酯的聚(ECPMA-共-BzMA-共-(TPS DFES))的RAFT链延伸。用于聚(ECPMA-共-BzMA-共-(TPS DFES))的RAFT链延伸的反应方案呈现于图12中。在作为mCTA的聚(ECPMA-共-BzMA-共-(TPS DFES))存在下,与氟化甲基丙烯酸酯单体的链延伸反应的代表性RAFT聚合进行如下。将MA-4-HFA-CHOH(0.2克,0.000598摩尔)、macroCTA(0.3451克,2.0×10-5摩尔)、AIBN(0.7毫克,4×10-6摩尔)和THF(3.5毫升)引入配备有磁性搅拌器的10mL舒伦克瓶中([M]0:[mCTA]0:[Init]0=30:1:0.2)。反应混合物在冰浴中用氩气吹洗20分钟以移除氧气,并且接着在65℃下加热。在20小时之后,通过1H NMR计算单体转化率并且通过在己烷中进行双沉淀来回收聚合物。通过1H NMR、UV-VIS和SEC来表征聚合物。
RAFT聚合物的端基移除。用于从RAFT合成的聚[(ECPMA-共-BzMA-共-(TPS DFES))-b-(MA-4-HFA-CHOH)]的端基移除的反应方案呈现于图13中。RAFT聚合物的代表性端基裂解过程进行如下。将聚[(ECPMA-共-BzMA-共-(TPS DFES))-b-(MA-4-HFA-CHOH)](0.2克,8×10-6摩尔)、AIBN(26毫克,1.6×105摩尔,20当量)和THF(10毫升)引入配备有磁性搅拌器的50mL舒伦克瓶中。反应混合物在冰浴中用氩气吹洗20分钟以移除氧气,并且接着在70℃下加热。在20小时之后,通过在己烷中进行双沉淀来回收聚合物。通过1H NMR、UV-VIS和SEC来表征聚合物。聚合物的1H NMR谱图呈现于图14中。在RAFT端基移除之前和之后的聚合物的正规化UV-VIS谱图呈现于图15中。
合成较低分子量LSEP。为了研究LSEP添加剂的分子量对溶解能力、光学性质和光刻效能的影响,合成具有三种不同数目平均分子量(12,600、6,600和4,800道尔顿)的聚[(ECPMA-共-BzMA)-b-(MA-4-HFA-CHOH)]聚合物。合成途径与上文中所描述的类似。聚合资料列举于表7中。资料表明所有聚合皆良好控制。所有三种聚合物呈现窄分散度。
表7.具有不同分子量的聚[(ECPMA-共-BzMA)-b-(MA-4-HFA-CHOH)]的聚合资料
电子束光刻(EBL)。使用具有热(肖特基(Schottky))电子枪的7800场发射扫描电子显微镜(Field Emission Scanning Electron Microscope;FE-SEM)进行EBL分析,所述显微镜在15kV下的分辨率(样品依赖性)是0.8nm并且在1kV下是1.2nm。其配备有用于电子束光刻的RAITHTM系统。如第[0095]段(“掺合光致抗蚀剂聚合物(CBP-4)与低表面能聚合物和抑止剂”)和第[0096]段(“旋涂过程”)中所描述制备样品。
为了测定LSEP对图案质量的贡献,我们比较具有和不具有LSEP顶层的抗蚀剂的光刻效能。用CBP-4、CBP-4+2%LSEP 8k(其中“LSEP 8k”是表7中的聚合物2)和CBP-4+10%LSEP 8k配制的抗蚀剂的线条和空间图案的扫描电子显微照片展示于图16中。这些显微照片说明与纯CBP-4相比,抗蚀剂在存在2%LSEP 8k的情况下展示更光滑的特征。此外,观察到与纯CBP-4相比,在添加2%LSEP 8k的情况下敏感性不存在显著变化。CBP-4的透明剂量(E0)是60μC/cm2,而在CBP-4+2%LSEP 8k的情况下,图案也在60μC/cm2的剂量下透明。然而,CBP-4+2%LSEP 8k的尺寸精确性与CBP-4相比增加。存在LSEP 8k可改良CBP-4抗蚀剂的图案质量。

Claims (14)

1.一种嵌段共聚物,其包含:
第一嵌段,其包含来源于以下各者的重复单元
频带外吸收型单体,其不包括经氟取代的酯基,和
碱溶解度增强型单体;和
第二嵌段,其具有15到34毫焦耳/米2的表面能;
其中由所述嵌段共聚物铸成的薄膜在150到400纳米范围内的波长下的消光系数k是0.1到0.5。
2.根据权利要求1所述的嵌段共聚物,其具有1.05到1.2的分散度(Mw/Mn)。
3.根据权利要求1所述的嵌段共聚物,其中所述频带外吸收型单体包含不含氟的未经取代或经取代的C6-C18芳基、未经取代或经取代的C2-C17杂芳基、C5-C12二烯酮基团或其组合。
4.根据权利要求1所述的嵌段共聚物,其中所述频带外吸收型单体具有以下结构
其中
R1是氢或甲基,
n是0、1、2、3或4,和
Ar1是不含氟的未经取代或经取代的C6-C18芳基。
5.根据权利要求1至4中任一项所述的嵌段共聚物,其中所述碱溶解度增强型单体选自由以下组成的群组:酸不稳定(甲基)丙烯酸酯、碱不稳定(甲基)丙烯酸酯、经pKa是2到12的基团取代的(甲基)丙烯酸酯和其组合。
6.根据权利要求1至4中任一项所述的嵌段共聚物,其中所述碱溶解度增强型单体包含叔(甲基)丙烯酸酯。
7.根据权利要求1至4中任一项所述的嵌段共聚物,其中所述第二嵌段是使包含以下各者的单体聚合的产物
选自由以下组成的群组的可聚合部分:乙烯基、烯丙基、降冰片烯基、(甲基)丙烯酰基、二醇、二羧酸、二酯、二异氰酸酯、异氰酸酯与醇的组合、硅烷基(二氯)(烃基)、联乙炔、缩水甘油基和羟苯基;和
选自由以下组成的群组的低表面能部分:C10-C36烷基、C2-C12二烷基硅氧烷和C1-C12氟化烷基。
8.根据权利要求1至4中任一项所述的嵌段共聚物,其中所述第二嵌段包含来源于包含至少一个氟原子的(甲基)丙烯酸酯的重复单元,并且其中所述包含至少一个氟原子的(甲基)丙烯酸酯具有以下结构
其中
p是0、1、2、3或4,
R1是氢或甲基,
R2是未经取代或经取代的C1-C18亚烃基,和
Rf是经至少一个氟原子取代并且任选地进一步经除氟以外的取代基取代的C1-C18烃基。
9.根据权利要求1至4中任一项所述的嵌段共聚物,其中所述第一嵌段更包含来源于光酸产生型单体的重复单元。
10.一种光致抗蚀剂组合物,其包含根据权利要求1至4中任一项所述的嵌段共聚物。
11.根据权利要求10所述的光致抗蚀剂组合物,其更包含光酸产生剂。
12.根据权利要求10所述的光致抗蚀剂组合物,其更包含选自由以下组成的群组的抑止剂:C1-30胺、C1-30酰胺和其组合。
13.一种薄膜,其包含根据权利要求10所述的光致抗蚀剂组合物,其中所述薄膜具有50到200纳米的厚度,其中所述薄膜包含与空气接触的顶部表面,并且其中所述薄膜中至少50重量百分比所述嵌段共聚物存在于20纳米所述顶部表面内。
14.一种形成电子装置的方法,其包含:
(a)在基板上涂覆根据权利要求10所述的光致抗蚀剂组合物的层;
(b)使所述光致抗蚀剂组合物层以图案逐次方式暴露于电子束或远紫外线辐射;和
(c)使所述经曝光的光致抗蚀剂组合物层显影,以提供抗蚀剂浮雕图像。
CN201610640174.3A 2015-08-07 2016-08-05 嵌段共聚物和相关光致抗蚀剂组合物以及形成电子装置的方法 Expired - Fee Related CN106632918B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/820,647 US9815930B2 (en) 2015-08-07 2015-08-07 Block copolymer and associated photoresist composition and method of forming an electronic device
US14/820647 2015-08-07

Publications (2)

Publication Number Publication Date
CN106632918A true CN106632918A (zh) 2017-05-10
CN106632918B CN106632918B (zh) 2019-08-13

Family

ID=58047988

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610640174.3A Expired - Fee Related CN106632918B (zh) 2015-08-07 2016-08-05 嵌段共聚物和相关光致抗蚀剂组合物以及形成电子装置的方法

Country Status (5)

Country Link
US (1) US9815930B2 (zh)
JP (2) JP2017036441A (zh)
KR (1) KR101830092B1 (zh)
CN (1) CN106632918B (zh)
TW (1) TWI639623B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109251283A (zh) * 2018-08-01 2019-01-22 复旦大学 一种超高分辨率含氟含氧嵌段共聚物及其制备方法与应用
CN115850616A (zh) * 2022-12-29 2023-03-28 徐州博康信息化学品有限公司 含氟嵌段共聚物及其制备方法和应用

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9957339B2 (en) 2015-08-07 2018-05-01 Rohm And Haas Electronic Materials Llc Copolymer and associated layered article, and device-forming method
US10445200B2 (en) * 2016-05-02 2019-10-15 Samsung Electronics Co., Ltd. Storage device having various recovery methods and recovery modes
JP6871839B2 (ja) * 2017-10-31 2021-05-12 信越化学工業株式会社 レジストの品質管理方法及びレジストの品質予測モデルを得る方法
TWI695860B (zh) * 2017-11-07 2020-06-11 南韓商Lg化學股份有限公司 聚合物組成物
KR102164614B1 (ko) * 2017-11-24 2020-10-12 주식회사 엘지화학 포토레지스트 조성물 및 이를 이용한 포토레지스트 필름
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
JP7039999B2 (ja) * 2017-12-26 2022-03-23 東亞合成株式会社 重合体の製造方法
US12012473B2 (en) * 2020-06-02 2024-06-18 Intel Corporation Directed self-assembly structures and techniques
US11906901B2 (en) 2021-06-07 2024-02-20 International Business Machines Corporation Alternating copolymer chain scission photoresists

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102850484A (zh) * 2011-06-27 2013-01-02 陶氏环球技术有限公司 聚合物组合物和含有该组合物的光致抗蚀剂
WO2013036555A1 (en) * 2011-09-06 2013-03-14 Cornell University Block copolymers and lithographic patterning using same
JP2013228663A (ja) * 2011-09-06 2013-11-07 Jsr Corp レジストパターン形成方法及び保護膜形成用組成物

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE525225A (zh) 1951-08-20
US2850445A (en) 1955-01-19 1958-09-02 Oster Gerald Photopolymerization
US2875047A (en) 1955-01-19 1959-02-24 Oster Gerald Photopolymerization with the formation of coherent plastic masses
GB1090142A (en) 1965-02-26 1967-11-08 Agfa Gevaert Nv Photochemical insolubilisation of polymers
US3479185A (en) 1965-06-03 1969-11-18 Du Pont Photopolymerizable compositions and layers containing 2,4,5-triphenylimidazoyl dimers
US3549367A (en) 1968-05-24 1970-12-22 Du Pont Photopolymerizable compositions containing triarylimidazolyl dimers and p-aminophenyl ketones
US4343885A (en) 1978-05-09 1982-08-10 Dynachem Corporation Phototropic photosensitive compositions containing fluoran colorformer
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
US4603101A (en) 1985-09-27 1986-07-29 General Electric Company Photoresist compositions containing t-substituted organomethyl vinylaryl ether materials
US7081216B2 (en) * 2001-02-15 2006-07-25 Arkema Inc. Foams and coatings
EP1751215B1 (en) * 2004-04-12 2007-08-29 Dow Corning Corporation Silsesquioxane resin wax
US7335456B2 (en) 2004-05-27 2008-02-26 International Business Machines Corporation Top coat material and use thereof in lithography processes
US20070231751A1 (en) 2006-03-31 2007-10-04 Bristol Robert L Photoresist top coat out-of-band illumination filter for photolithography
US20070231713A1 (en) 2006-03-31 2007-10-04 Bristol Robert L Anti-reflective coating for out-of-band illumination with lithography optical systems
US8945808B2 (en) 2006-04-28 2015-02-03 International Business Machines Corporation Self-topcoating resist for photolithography
US7951524B2 (en) 2006-04-28 2011-05-31 International Business Machines Corporation Self-topcoating photoresist for photolithography
JP4571598B2 (ja) 2006-06-27 2010-10-27 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP4809376B2 (ja) * 2007-03-09 2011-11-09 信越化学工業株式会社 反射防止膜材料およびこれを用いたパターン形成方法
US20080311530A1 (en) 2007-06-15 2008-12-18 Allen Robert D Graded topcoat materials for immersion lithography
JP4993139B2 (ja) 2007-09-28 2012-08-08 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
JP2009122325A (ja) 2007-11-14 2009-06-04 Fujifilm Corp トップコート組成物、それを用いたアルカリ現像液可溶性トップコート膜及びそれを用いたパターン形成方法
JP2010002839A (ja) * 2008-06-23 2010-01-07 Tokyo Univ Of Agriculture & Technology 液浸リソグラフィー用フォトレジスト添加剤及び液浸リソグラフィー用フォトレジスト組成物
EP2189846B1 (en) 2008-11-19 2015-04-22 Rohm and Haas Electronic Materials LLC Process for photolithography applying a photoresist composition comprising a block copolymer
WO2010071081A1 (ja) 2008-12-15 2010-06-24 セントラル硝子株式会社 トップコート組成物
EP2204694A1 (en) 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
JP5568258B2 (ja) 2009-07-03 2014-08-06 東京応化工業株式会社 ポジ型レジスト組成物およびそれを用いたレジストパターン形成方法、並びに含フッ素高分子化合物
JP2011074365A (ja) 2009-09-02 2011-04-14 Sumitomo Chemical Co Ltd 化合物、樹脂、レジスト組成物及びレジストパターンの製造方法
KR20170091788A (ko) * 2009-12-23 2017-08-09 메르크 파텐트 게엠베하 중합체성 결합제를 포함하는 조성물
JP5573307B2 (ja) * 2010-03-31 2014-08-20 Jsr株式会社 感放射線性樹脂組成物、重合体及び化合物
KR101742815B1 (ko) 2010-07-23 2017-06-01 삼성전자 주식회사 Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법
KR101821704B1 (ko) 2010-12-13 2018-01-25 주식회사 동진쎄미켐 감광성 고분자, 이를 포함하는 포토레지스트 조성물 및 이를 이용한 레지스트 패턴 형성방법
EP2527377A1 (en) * 2011-05-27 2012-11-28 Rohm and Haas Electronic Materials LLC Surface active additive and photoresist composition comprising same
JP2013068646A (ja) * 2011-09-20 2013-04-18 Tokyo Ohka Kogyo Co Ltd レジスト組成物、レジストパターン形成方法
JP5802510B2 (ja) * 2011-09-30 2015-10-28 富士フイルム株式会社 パターン形成方法、感電子線性又は感極紫外線性樹脂組成物、及び、レジスト膜、並びに、これらを用いた電子デバイスの製造方法
JP5650088B2 (ja) 2011-10-11 2015-01-07 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP6141620B2 (ja) 2011-11-07 2017-06-07 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 上塗り組成物およびフォトリソグラフィ方法
JP5516557B2 (ja) 2011-12-06 2014-06-11 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US9170494B2 (en) 2012-06-19 2015-10-27 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective compositions and methods of using same
JP5850873B2 (ja) * 2012-07-27 2016-02-03 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、それを用いたレジスト膜、パターン形成方法、及び電子デバイスの製造方法
JP2014174329A (ja) 2013-03-08 2014-09-22 Nissan Chem Ind Ltd リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
JP6240489B2 (ja) * 2013-12-06 2017-11-29 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
US9229319B2 (en) * 2013-12-19 2016-01-05 Rohm And Haas Electronic Materials Llc Photoacid-generating copolymer and associated photoresist composition, coated substrate, and method of forming an electronic device
US9957339B2 (en) 2015-08-07 2018-05-01 Rohm And Haas Electronic Materials Llc Copolymer and associated layered article, and device-forming method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102850484A (zh) * 2011-06-27 2013-01-02 陶氏环球技术有限公司 聚合物组合物和含有该组合物的光致抗蚀剂
WO2013036555A1 (en) * 2011-09-06 2013-03-14 Cornell University Block copolymers and lithographic patterning using same
JP2013228663A (ja) * 2011-09-06 2013-11-07 Jsr Corp レジストパターン形成方法及び保護膜形成用組成物

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109251283A (zh) * 2018-08-01 2019-01-22 复旦大学 一种超高分辨率含氟含氧嵌段共聚物及其制备方法与应用
CN115850616A (zh) * 2022-12-29 2023-03-28 徐州博康信息化学品有限公司 含氟嵌段共聚物及其制备方法和应用

Also Published As

Publication number Publication date
KR20170017824A (ko) 2017-02-15
TWI639623B (zh) 2018-11-01
JP2017036441A (ja) 2017-02-16
JP2019031688A (ja) 2019-02-28
KR101830092B1 (ko) 2018-02-20
US20170037178A1 (en) 2017-02-09
TW201706323A (zh) 2017-02-16
CN106632918B (zh) 2019-08-13
US9815930B2 (en) 2017-11-14

Similar Documents

Publication Publication Date Title
CN106632918A (zh) 嵌段共聚物和相关光致抗蚀剂组合物以及形成电子装置的方法
TWI817945B (zh) Euv 光用感光性組成物、圖案形成方法及電子器件的製造方法
TWI680989B (zh) 圖案形成方法
US9122167B2 (en) Method of patterning a device
KR101591558B1 (ko) 패턴 형성 방법
TWI462934B (zh) 光阻保護膜材料及圖案形成方法
KR102065932B1 (ko) 네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법
EP3564751A1 (en) Radiation-sensitive composition, pattern formation method, and metal-containing resin and method for manufacturing same
KR102102540B1 (ko) 레지스트 재료 및 패턴 형성 방법
KR101650326B1 (ko) 포토레지스트 조성물, 코팅된 기판, 및 전자 디바이스의 형성 방법
TWI524157B (zh) 感光性光阻材料用顯影液及使用此顯影液之圖案形成方法
KR20160118340A (ko) 교차-결합 가능한 플루오르화된 포토폴리머
KR101770870B1 (ko) 현상액 및 이것을 이용한 패턴 형성 방법
WO2018173446A1 (ja) パターン形成方法
TW202144915A (zh) 在光阻層中形成圖案的方法、製造半導體裝置的方法及光阻組成物
CN106893053A (zh) 酸不稳定超支化共聚物和相关联的光致抗蚀剂组合物以及形成电子器件的方法
KR102556781B1 (ko) Euv 리소그래피용 규소 함유 막 형성 조성물, euv 리소그래피용 규소 함유 막 및 패턴 형성 방법
US7659050B2 (en) High resolution silicon-containing resist
TW202126710A (zh) 光阻劑組成物和製造半導體元件的方法
TWI486361B (zh) 單體、聚合物及光阻劑組成物
JP6741540B2 (ja) 基板の表面物性を制御する方法
US8968586B2 (en) Pattern-forming method
JP2001066778A (ja) レジスト組成物及びレジストパターンの形成方法
Xu et al. SPIE Advanced Lithography
Lee et al. OTHER STRUCTURE-PROPERTY RELATIONSHIPS OF CHEMICALLY AMPLIFIED RESISTS

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20190813

Termination date: 20200805