CN105473763A - 基板操作设备 - Google Patents

基板操作设备 Download PDF

Info

Publication number
CN105473763A
CN105473763A CN201480046768.0A CN201480046768A CN105473763A CN 105473763 A CN105473763 A CN 105473763A CN 201480046768 A CN201480046768 A CN 201480046768A CN 105473763 A CN105473763 A CN 105473763A
Authority
CN
China
Prior art keywords
heating installation
area heating
pedestal
parameters
allocation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480046768.0A
Other languages
English (en)
Other versions
CN105473763B (zh
Inventor
D.布赖恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron SE filed Critical Aixtron SE
Publication of CN105473763A publication Critical patent/CN105473763A/zh
Application granted granted Critical
Publication of CN105473763B publication Critical patent/CN105473763B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1917Control of temperature characterised by the use of electric means using digital means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/02Induction heating
    • H05B6/06Control, e.g. of temperature, of power
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/02Induction heating
    • H05B6/10Induction heating apparatus, other than furnaces, for specific applications
    • H05B6/105Induction heating apparatus, other than furnaces, for specific applications using a susceptor

Abstract

本发明涉及一种用于操作基板的设备和方法,具有具备多个局部加热装置的加热装置,以及调节装置,调节装置的指令变量是基座温度(Ts),基座温度的调节量是通过测温装置(10)测量的基座(7)的实际温度,并且基座温度的调整参数是向加热装置供给的总热功率(Ptot)的值。设有热功率分配器(12),其作为输入值获得调整参数(Ptot)并且作为输出值向每个局部加热装置(1、2、3、4、5)提供局部热功率(P1、P2、P3、P4、P5),其中,局部热功率(P1、P2、P3、P4、P5)的数值之和等于调整参数(Ptot),并且局部热功率(P1、P2、P3、P4、P5)的数值相互间处于预设的固定比例关系。为了提供紧凑的调节回路,具有多个局部加热装置的设备通过该调节回路可以与变化的处理参数相匹配,在此规定,通过能够预选的分配参数(A、B、C、D)定义预设的比例关系,其中,至少一个分配参数(A、C)是局部热功率(P1、P2;P4、P5)的两个数值之商。

Description

基板操作设备
本发明涉及一种用于操作或处理基板的设备,其具有安置在处理室内的基座,所述基座具有朝向所述处理室的用于容纳至少一个基板的第一侧和与所述第一侧相背离的第二侧,所述第二侧能够被具有多个局部加热装置的加热装置加热,并且所述设备还具有调节装置,所述调节装置的指令变量是基座温度,所述基座温度的调节量是通过测温装置测量的基座的实际温度,并且所述基座温度的调整参数是向所述加热装置供给的总热功率Ptot的值。
本发明还涉及一种用于操作基板的方法,其中,至少一个基板安置在布置于处理室内的基座的第一侧上,其中,与所述第一侧相背离的第二侧被具有多个局部加热装置的加热装置加热,其中,向所述加热装置供给的热功率通过调节装置被调节,所述调节装置的指令变量是基座温度,所述基座温度的调节量是通过测温装置测量的基座的实际温度,并且所述基座温度的调整参数是热功率的值。
US2011/0143016A1描述了一种具有两个围绕基座的轮廓轴线对中布置的局部加热装置,它们通过调节器被供给热功率。基座围绕其中心轴线被旋转驱动。
US2011/0259879A1描述了一种用于操作基板的设备,其中,在基座的下方设有多个可电感加热的局部加热装置。
US6,746,908B2描述了一种用于控制温度的设备,其中,多个局部相邻布置的并且相互热力影响的加热区域分别被电感地控制。温度传感器的数量多于加热区域的数量。在EP1647868B1中,多个相互影响的加热区域被独自的加热元件加热。每个加热区域配属有温度测量传感器。各个加热装置相互干扰。干扰因素通过脱耦矩阵的构成被补偿。
WO2011/022637A1描述了一种加热设备,其中基座具有灯,加热设备通过电阻加热装置或电感加热元件能够被加热。基座表面的温度还按照高温测量法被测量。
借助无线射频线圈对基座加热的设备还由US2012/0148760A1、US2012/0193765A1和US2012/0067870A1已知。
DE102007027704A1描述了一种前述类型的设备,其中,基座的多个区域可以通过独自的局部加热装置被加热,其中,局部加热装置由电阻丝构成,电阻丝可以相互不同的接受功率。
DE102012101717A1描述了一种设备和方法,其中,每个单独的局部加热装置被独自的调节装置控制。每个调节装置获得所选择的温度传感器的实际值。
DE69906082T2描述了一种用于多个加热元件的温度控制器。在此,每个加热元件也可以被独立地控制,用于减少温度差。
US6,492,625B1公开了一种设备和方法,其中,基板温度通过多个高温计被测量。测量值相互关联。由此构成指令变量,由此可以独自地控制多个局部加热装置。
US2006/0027169A1描述了一种用于基座测温的方法,其中,通过共同的控制装置控制多个热交换器。
US2008/0092812A1描述了一种具有多个加热器的基座,这些加热器分别被独立的控制器控制。具有多个可独自调节的加热区的反应器也由US2011/0073039A1公开。在此,也向各个加热区供给独立控制的加热功率。
US2012/0221138A1描述了一种设备,其中,多个温度传感器提供基板上侧的不同位置的温度值。每个温度传感器对应基座下方布置的加热装置,该加热装置通过调节装置被供给功率。主控装置协调各个调节装置。
US6,160,242A描述了一种灯的应用,基板通过灯被加热。设有多个光学温度传感器,该温度传感器提供用于调节装置的输入值,灯通过调节装置调节灯加热器。
本发明所要解决的技术问题是,提供紧凑的调节回路,具有多个局部加热装置的设备通过该调节回路可以与变化的处理参数相匹配。
所述技术问题通过权利要求给出的发明设计所解决。按照本发明的调节装置提供作为调整参数的用于向加热装置供给的功率的数值,其中,加热装置具有多个局部加热装置。由调节装置输出的调整参数按照本发明是热功率分配器的输入值。热功率分配器能够将作为输入变量导入的总热功率分成不同的局部热功率或区域热功率,其中,局部热功率的值相互间处于预设的固定的比例关系。每个局部热率向其所属的局部加热装置供给,其中,局部热功率之和等于总热功率,总热功率被分配给各个局部加热装置。优选地,加热装置具有奇数的局部加热装置,其中,优选分别两个局部加热装置成对地相互间通过分配参数相关联。分配参数可以是局部热功率的数值之商,所述局部功率被向局部加热装置对的两个局部加热装置供给。分配参数基本上可自由预选。通过分配参数的自由的可预选性,热功率分配可以与不同的处理参数、如向处理室内供给的处理气体或运载气体的温度传导性相匹配。因此调节回路尤其是稳定的(robust),因为调节回路仅传输单一一个调整参数,该调整参数是向加热装置供给的总热功率。它根据预试验或在预试验中获得,在覆层处理之前设定的分配参数被分配给各个加热区域。分配参数否则对于调节没有影响。分配参数在覆层处理时优选没有变化。当基板温度根据预设的温度-时间法则改变时,也就是例如沿温度变化走势(Temperaturrampen)改变时,则分配参数也没有变化。
在特别优选的设计方案中,基座的温度仅通过单一的测温装置、例如热电偶被测量。但是,基座温度的测量也可以按照高温测量法进行。基座的温度可以在其朝向处理室侧的侧面上,但也可以在其朝向加热装置的侧面上进行测量。局部加热装置可以是无线射频线圈或无线射频线圈元件。基座优选具有圆盘形状并且具有中央的轮廓轴线。局部加热装置优选围绕基座的轮廓轴线对中心地布置。在基座的朝向处理室的侧面上存在基板支架,所述基板支架例如设计为基板的表面的凹陷,在该凹陷中可以安置有圆盘形状的基板。在此优选是半导体基板,其在处理室内被半导体层覆层。基板支架在环形区域中围绕基座的轮廓轴线布置。特别有利的变形方案是,设有三个局部加热装置,其所具有以下特性:设有第一局部加热装置,其在基板支架的中央的下方延伸。这个位于中间的局部加热装置在其径向内侧和其径向外侧上分别与另外的局部加热装置相邻。位于径向内侧的局部加热装置延伸直至超出由基板支架构成的环形区域的边缘。位于径向外侧的局部加热装置同样延伸超出环形区域的外周,基板支架布置在该环形区域中。外围的局部加热装置和中间的局部加热装置相互最小地间隔。在本发明的优选设计方案中,基板支架由圆盘构成,其安置在基座的凹部中并且在操作处理时围绕其轴线旋转。在本发明的优选设计方案中,内侧区域和外侧区域相互耦连。向这两个区域供给的功率处于固定的预选的比例关系。向中间的局部加热装置供给的局部热功率相对于总功率具有预设的比例关系,总功率是向加热装置供给的所有局部热功率之和。总功率由调节器改变,以便将被测温装置测量的温度调节到预设的基座温度。当局部加热装置为奇数时,存在沿着生长区域的圆弧形状的中线延伸的中间的局部加热装置。另外的局部加热装置则成对地相对于中间的局部加热装置对称地在生长区域内布置。生长区域是基座的尤其圆环形的区域,在该区域中存在从最小半径至最大半径的基板。
旋转驱动的基板支架具有如下优点:当基板支架围绕其自身的轴线转动时,在基板支架上产生基本上旋转对称的温度分布特性。基板支架的边缘区域内的温度基本上由向内侧和外侧的局部加热装置供给的局部热功率确定。基板支架上的中心温度则基板上由向中间的局部加热装置供给的热功率确定。通过向中间局部加热装置供给的热功率占总热功率比例的提高,可以提高基板支架的表面的中心温度。当根据本发明的优选设计方案向内侧和外侧的局部加热装置供给的局部热功率的关联是固定的时,则可以与这种关联参数无关地自由地选择中间的局部加热装置的热功率。分配参数的大小基本上与处理室内的气体的成分相关,尤其与这些气体的热学特性相关。分配参数优选被用于根据需要提高或降低中央温度。在处理室中,优选执行覆层处理,其中,被导入处理室内的处理气体热解。热解产物作为覆层沉积在基板的表面。优选涉及MOCVD(金属有机化合物化学气相沉积)处理。在按照本发明的方法中也产生温度变化,例如通过与时间相关地预先给定基座温度。由此,温度按时间持续地升高。在此优选分配参数不改变。
局部加热装置优选被设计为水冷却的电感线圈,其螺旋状地布置在基座的下侧。螺旋线圈的各个卷绕彼此具有一个间距,其中,该间距大约等于一个距离,彼此相邻的局部加热装置相互间以该距离相间隔。相邻的局部加热装置由此直接相邻。它们的径向间距优选小于它们与基座的第二侧的距离。由此确保,加热装置将整体上无跃变的热流向基座供给。
以下结合附图进一步阐述本发明的实施例。在附图中:
图1示出圆盘形的基座的俯视图,在基座上安置有六个基板支架8,这些基板支架分别装配有基板15;虚线示出布置在基座下方7的局部加热装置1、2和3,这些局部加热装置圆形地围绕基座7的中点Z延伸;
图2示出沿剖切线II-II剖切所得的剖面图;
图3示出第一实施例的调节装置的方框图;
图4示出第二实施例的类似于图2的视图;
图5示出第二实施例的调节装置的方框图;
图6示出在不同的分布参数B的情况下在基板支架8的整个直径上的表面温度变化曲线示意图。
实施例涉及MOCVD反应器。在未示出的对外气密的反应器壳体内设有基座7,所述基座具有圆盘形形状并且围绕其轴线Z旋转驱动。基座的中心位于进气区域内,在该进气区域内进气机构14将处理气体导入处理室6内。在实施例中,一共有六个基板支架8位于环形地围绕进气区域延伸的生长区域内。基板支架安置在基座7的上侧7’的凹穴9中。设有一种器件,借助它能够使基板支架8绕着它的旋转轴线16被旋转驱动。圆盘形的基板支架8在此优选设在由运载气体构成的气垫上,所述运载气体从下面开始被输入凹穴9中。通过运载气体可以使基板支架8处于旋转运动。在每个基板支架8上具有一个待覆层的基板15。在处理室6的上方具有处理室盖13,该处理室盖可以被冷却。进气机构14从处理室盖13的中心朝基座7的方向延伸出,并且具有多个排气口,例如具有III和V主族元素的气体可以通过所述排气口被导入处理室6。处理气体连同运载气体、例如氢气或氮气一同被导入处理室内,处理气体在气相中分解但也沉积在基板15的表面,其中在基板15上沉积出III-V主族元素层。运载气体和反应产物通过未示出的真空泵从处理室6中被运送出。
在基座7的下方,也就是在基座7的下侧7”的下面存在多个围绕中心Z的环形布置的局部加热装置。在图1至3所示的实施例中设有三个局部加热装置或称为区域加热装置1、2、3,在图4和5所示的实施例中设有五个局部加热装置1、2、3、4和5。
局部加热装置可以是电阻加热器或电感加热器。但加热装置1至5优选是电感线圈,其以螺旋线圈或围绕中心Z对中卷绕地布置。所有局部加热装置1至5布置在一个平面内,其中,彼此相邻的局部加热装置1至5尽可能紧密地彼此紧靠。
设有中间的局部加热装置1,其环形地在基板支架8的中心16的下方延伸。在局部加热装置1的区域内,也通过测温装置10测量基座7的温度。在实施例中,测温装置10被显示为热电偶,其处于基座7的下侧7”上。但是,基座温度也可以通过高温测量学和尤其借助“光导管”被测量。可以设有多个测温装置10,它们在不同的径向位置或不同的圆周位置上测量基座7的温度。如果在不同的圆周位置上测量基座温度,则优选以相同的径向间距进行测量,从而可以计算温度平均值。所述设备优选仅具有一个测温装置10,或者基座温度仅在一个径向位置上、但必要时通过多个独立的测温装置被测量。优选在一个圆弧线上进行测量,基板支架8的中心16处于所述圆弧线上。
在图1至3所示的实施例中,相对于中间的局部加热装置附加地设有处于径向内侧的局部加热装置3,其在生长区域的径向内侧圆周的下方延伸。局部加热装置3基本上在生长区域的下方延伸,也就是在基板支架8的下方延伸,但是通过边缘部段也在进气区域的下方延伸,也就是没有被基板支架8覆盖的区域。
在中间的局部加热装置1的径向外侧存在径向外侧的局部加热装置2,其同样基本上在生长区域的下方延伸,也就是在基座上侧7’的被基板支架8覆盖的区域的下方延伸。但是,在此局部加热装置2的一个区段也在生长区域以外延伸,也就是在被基板支架8覆盖的区域以外。
在图4和5所示的实施例中,在径向外侧的局部加热装置2和中间的局部加热装置1之间安置有另外的局部加热装置4。在中间的局部加热装置1和径向内侧的局部加热装置3之间存在另外的局部加热装置5。两个最外侧的局部加热装置2、3分别构成一对。同样地,在最外侧的局部加热装置2和3以及中间的局部加热装置1之间安置的局部加热装置4和5构成局部加热装置对。
图3示出调节装置,局部加热装置1、2、3通过该调节装置被供给热功率。指令变量是预设的基板温度Ts。在该指令变量上应调节被测温装置10测量的温度。为此,调节器11向热功率分配器12发送总热功率Ptot。调节器可以是PID调节器。在热功率分配器中,总热功率Ptot被以局部热功率P1、P2、P3分别分配给各个局部加热装置1、2、3。为此,在预试验中或者通过模拟计算得出的分配参数A、B被使用。这些分配参数可以基本上自由地被预选出并且针对不同的处理条件、例如不同的总压力或气体成分而有所区别。这些分配参数也基本取决于所使用的运载气体的单位导热性以及与基座的外缘和内缘相邻的几何形状。第一分配参数A等于向最外侧的局部加热装置2、3供给的热功率之商。由此它相当于内表面和外表面的损耗比例。
A = P 2 P 3
第二分配参数B等于向中间的局部加热装置1供给的热功率占总热功率的份额。
B = P 1 P t o t
通过分配参数A,加热装置的作用可以影响到基板支架8的边缘区域,也就是安置在基板支架上的基板15的边缘。通过分配参数B,使得向转动的基板15的中央供给的功率变得均匀。基板15的中心温度则可以通过升高分配参数B被升高,或者通过减低分配参数B而被降低。
图6示出三个温度变化曲线a、b、c,这些温度变化曲线示出被从下面加热的基板支架8的表面温度。曲线a示出一种能量供给,其中,分配参数B具有较小的数值。可以看到,在基板支架8的中央的表面温度低于边缘上的表面温度。
曲线b示出在升高分配参数B时在基板支架8的整个直径上的温度走势。因为总热功率Ptot不变,所以在边缘区域、也就是在边缘的局部加热装置2、3内比在曲线a的情况下被供给更少的功率。相应地避免了边缘温度和中心温度的区别。
曲线c示出,当分配参数B变大时,基板支架8的中心的表面温度可以被继续升高。因为在此总热功率Ptot也保持恒定,所以向外围的局部加热装置2和3供给的功率P2、P3被减小。
分配参数A在此保持不变。
在图4和5所示的实施例中,不仅两个外围局部加热装置2和3相互组成一对与分配参数A相关联。而且中间局部加热装置4、5也相互通过分配参数C相关联。
C = P 4 P 5
按照本发明,局部加热装置对分别根据功率相互耦连,其中在此涉及相对于中心的局部加热装置1的对称布置的局部加热装置。
通过分配参数D可以调节向局部加热装置对供给的按比例的功率或者向两个局部加热装置中的一个局部加热装置3供给的功率。
D = P 3 P t o t
在备选方案中也可设想,通过四个参数将两个局部加热装置对,也就是局部加热装置2和3与局部加热装置4和5相耦连。
基座7不必在必要情况下是圆盘形的。它也可以设计为基体,其具有一个平坦的表面,在该表面上可以安置待覆层的基板15。从基座的背侧可以伸出中央的凸起,例如栓的形式,其伸入线圈中,从而优化与无线射频场的连接。
与各个线圈的耦连也可以另外方式地实现,例如也可设想,紧邻线圈地相互耦连。
前述的实施例用于阐述从本申请中获得的发明,其至少通过以下技术特征组合分别独立地对现有技术进行改进,即:
一种设备,其特征在于热功率分配器12,所述热功率分配器12作为输入值仅获得调整参数Ptot并且作为输出值向每个局部加热装置1、2、3、4、5提供局部热功率P1、P2、P3、P4、P5,其中,所述局部热功率P1、P2、P3、P4、P5的数值之和等于调整参数Ptot,并且所述局部热功率P1、P2、P3、P4、P5的数值相互间处于预设的固定比例关系,其特征在于,通过能够预选的分配参数A、B、C、D能够改变所述预设的比例关系。
一种设备,其特征在于,至少一个分配参数A、C是局部热功率P1、P2;P4、P5的两个数值之商。
一种设备,其特征在于,所述基座7具有圆盘形状,其中,多个基板支架8圆环形地围绕基板支架8的中心布置,其中,所述局部加热装置1、2、3、4、5这样地圆环形地围绕基座7的中心布置,使得中间的局部加热装置1布置在基板支架8的中心的下方,位于径向内侧的局部加热装置3布置在多个基板支架8的环形布置的内侧边缘的下方区域内,并且位于径向外侧的局部加热装置2布置在多个基板支架8的环形布置的外侧边缘的下方区域内。
一种设备,其特征在于,向径向外侧的局部加热装置2和径向内侧的局部加热装置3供给的局部热功率P1、P2、P3彼此处于固定的比例关系A。
一种设备,其特征在于,设有至少一个另外的局部加热装置对4、5,其中,向所属的局部加热装置4、5供给的局部热功率P4、P5处于固定的比例关系C。
一种设备,其特征在于,所述基板支架8能够围绕它们的相应的中心轴线被旋转驱动。
一种设备,其特征在于,所述局部加热装置1、2、3、4、5是高频线圈,用于输出高频交变场,该高频交变场在所述基座8内感应出涡流。
一种方法,其特征在于,所述调整参数被热功率分配器12分配成多个局部热功率P1、P2、P3、P4、P5,这些局部热功率P1、P2、P3、P4、P5分别向其所属的局部加热装置1、2、3、4、5供给,其中,局部热功率P1、P2、P3、P4、P5之和是由所述调节装置向所述热功率分配器12输出的调整参数Ptot,并且其中,这些局部热功率P1、P2、P3、P4、P5相互间处于预设的可自由选择的固定比例关系。
一种方法,其特征在于,根据可预选的分配参数A、B、C、D实现总热功率Ptot向各个局部热功率P1、P2、P3、P4、P5的分配。
一种方法,其特征在于,所述分配参数A、B、C、D与向所述处理室供给的气体的类型相匹配。
一种方法,其特征在于,所述分配参数A、B、C、D与在所述处理室中设定的气体总压力相匹配。
一种方法,其特征在于,所述分配参数A、B、C、D与最大处理温度相匹配。
一种方法,其特征在于,通过保持在固定值的分配参数A、B、C、D而产生温度变化。
一种方法,其特征在于,通过模拟计算和/或在预试验中的测量确定所述分配参数A、B、C、D。
所有公开的特征(本身)都有发明意义或发明价值。在本申请的公开文件中,所属/附属的优先权文本(在先申请文件)的公开内容也被完全包括在内,为此也将该优先权文本中的特征纳入本申请的权利要求书中。从属权利要求中的那些可选择的并列设计方案都是对于现有技术有独立发明意义或价值的改进设计,尤其可以这些从属权利要求为基础提出分案申请。
附图标记列表
1局部加热装置
2局部加热装置
3局部加热装置
4局部加热装置
5局部加热装置
6处理室
7基座
7’上侧
7”下侧
8基板支架
9凹穴
10测温装置
11调节器
12热功率分配器
13处理室盖
14进气机构
15基板
16中心
A分配参数
B分配参数
C分配参数
D分配参数
P1局部热功率
P2局部热功率
P3局部热功率
P4局部热功率
P5局部热功率
Ptot热功率
Ts基座温度
Z中心

Claims (15)

1.一种用于操作基板的设备,其具有安置在处理室(6)内的基座(7),所述基座(7)具有朝向所述处理室(6)的用于容纳至少一个基板(15)的第一侧(7’)和与所述第一侧(7’)相背离的第二侧(7”),所述第二侧(7”)能够被具有多个局部加热装置的加热装置加热,并且所述设备还具有调节装置,所述调节装置的指令变量是基座温度(Ts),所述基座温度(Ts)的调节量是通过测温装置(10)测量的基座(7)的实际温度,并且所述基座温度(Ts)的调整参数是向所述加热装置供给的总热功率(Ptot)的值,其特征在于热功率分配器(12),所述热功率分配器(12)作为输入值仅获得调整参数(Ptot)并且作为输出值向每个局部加热装置(1、2、3、4、5)提供局部热功率(P1、P2、P3、P4、P5),其中,所述局部热功率(P1、P2、P3、P4、P5)的数值之和等于调整参数(Ptot),并且所述局部热功率(P1、P2、P3、P4、P5)的数值相互间处于预设的固定的比例关系,其特征在于,通过能够预选的分配参数(A、B、C、D)能够改变预设的比例关系。
2.按照权利要求1所述的设备,其特征在于,至少一个分配参数(A、C)是局部热功率(P1、P2;P4、P5)中的两个数值之商。
3.按照权利要求1或2所述的设备,其特征在于,所述基座(7)具有圆盘形状,其中,多个基板支架(8)圆环形地围绕基板支架(8)的中心布置,其中,所述局部加热装置(1、2、3、4、5)如此圆环形地围绕基座(7)的中心布置,使得中间的局部加热装置(1)布置在基板支架(8)的中心的下方,位于径向内侧的局部加热装置(3)布置在多个基板支架(8)的环形布置的内侧边缘的下方区域内,并且位于径向外侧的局部加热装置(2)布置在多个基板支架(8)的环形布置的外侧边缘的下方区域内。
4.按照权利要求3所述的设备,其特征在于,向径向外侧的局部加热装置(2)和径向内侧的局部加热装置(3)供给的局部热功率(P1、P2、P3)彼此处于固定的比例关系(A)。
5.按照权利要求4所述的设备,其特征在于,设有至少一个另外的局部加热装置对(4、5),其中,向所属的局部加热装置(4、5)供给的局部热功率(P4、P5)处于固定的比例关系(C)。
6.按照权利要求3至5之一所述的设备,其特征在于,所述基板支架(8)能够围绕它们的相应的中心轴线被旋转驱动。
7.按照前述权利要求之一所述的设备,其特征在于,所述局部加热装置(1、2、3、4、5)是高频线圈,用于输出高频交变场,该高频交变场在所述基座(8)内感应出涡流。
8.一种用于操作基板的方法,其中,至少一个基板(15)安置在布置于处理室(6)内的基座(7)的第一侧(7’)上,其中,与所述第一侧(7’)相背离的第二侧(7”)被具有多个局部加热装置(1、2、3、4、5)的加热装置加热,其中,向所述加热装置供给的总热功率(Ptot)通过调节装置(11)被调节,所述调节装置(11)的指令变量是基座温度(Ts),所述基座温度(Ts)的调节量是通过测温装置测量的基座(7)的实际温度,并且所述基座温度(Ts)的调整参数仅是总热功率的值,其特征在于,所述调整参数被热功率分配器(12)分配成多个局部热功率(P1、P2、P3、P4、P5),这些局部热功率(P1、P2、P3、P4、P5)分别向其所属的局部加热装置(1、2、3、4、5)供给,其中,局部热功率(P1、P2、P3、P4、P5)之和是由所述调节装置向所述热功率分配器(12)输出的调整参数(Ptot),并且其中,这些局部热功率(P1、P2、P3、P4、P5)相互间处于预设的可自由选择的固定的比例关系。
9.按照权利要求8所述的方法,其特征在于,根据可预选的分配参数(A、B、C、D)实现总热功率(Ptot)向各个局部热功率(P1、P2、P3、P4、P5)的分配。
10.按照权利要求8或9所述的方法,其特征在于,所述分配参数(A、B、C、D)与向所述处理室供给的气体的类型相匹配。
11.按照权利要求10所述的方法,其特征在于,所述分配参数(A、B、C、D)与在所述处理室中设定的气体总压力相匹配。
12.按照权利要求10或11所述的方法,其特征在于,所述分配参数(A、B、C、D)与最大处理温度相匹配。
13.按照权利要求6至8之一所述的方法,其特征在于,通过保持在固定值的分配参数(A、B、C、D)产生温度变化。
14.按照权利要求6至9之一所述的方法,其特征在于,通过模拟计算和/或在预试验中的测量确定所述分配参数(A、B、C、D)。
15.一种设备或方法,其特征在于前述权利要求之一的一个或多个特征。
CN201480046768.0A 2013-08-23 2014-08-04 基板操作设备 Active CN105473763B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102013109155.5A DE102013109155A1 (de) 2013-08-23 2013-08-23 Substratbehandlungsvorrichtung
DE102013109155.5 2013-08-23
PCT/EP2014/066692 WO2015024762A1 (de) 2013-08-23 2014-08-04 Substratbehandlungsvorrichtung

Publications (2)

Publication Number Publication Date
CN105473763A true CN105473763A (zh) 2016-04-06
CN105473763B CN105473763B (zh) 2019-03-26

Family

ID=51266330

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480046768.0A Active CN105473763B (zh) 2013-08-23 2014-08-04 基板操作设备

Country Status (7)

Country Link
US (1) US10438823B2 (zh)
JP (1) JP6325104B2 (zh)
KR (1) KR102343798B1 (zh)
CN (1) CN105473763B (zh)
DE (1) DE102013109155A1 (zh)
TW (1) TWI676704B (zh)
WO (1) WO2015024762A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109964309A (zh) * 2016-10-11 2019-07-02 欧司朗光电半导体有限公司 加热设备、在晶片复合件中制造半导体芯片的方法和系统

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015100640A1 (de) 2015-01-19 2016-07-21 Aixtron Se Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
JP6512089B2 (ja) * 2015-12-15 2019-05-15 東京エレクトロン株式会社 基板処理装置及び基板処理装置の調整方法
KR102369676B1 (ko) 2017-04-10 2022-03-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
EP3419049A1 (de) * 2017-06-22 2018-12-26 Meyer Burger (Germany) GmbH Beheizbarer waferträger und bearbeitungsverfahren
DE102019104433A1 (de) 2019-02-21 2020-08-27 Aixtron Se CVD-Reaktor mit Mitteln zur lokalen Beeinflussung der Suszeptortemperatur
TWI711717B (zh) * 2019-11-06 2020-12-01 錼創顯示科技股份有限公司 加熱裝置及化學氣相沉積系統
DE102020107517A1 (de) 2020-03-18 2021-09-23 Aixtron Se Suszeptor für einen CVD-Reaktor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005243243A (ja) * 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
JP2007335500A (ja) * 2006-06-13 2007-12-27 Hitachi Kokusai Electric Inc 基板処理装置の温度制御方法
CN101911252A (zh) * 2008-01-19 2010-12-08 东京毅力科创株式会社 载置台装置、处理装置以及温度控制方法
CN102668034A (zh) * 2010-04-08 2012-09-12 夏普株式会社 加热控制系统及具备该加热控制系统的成膜装置、以及温度控制方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6034357A (en) * 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
IT1303090B1 (it) 1998-07-28 2000-10-30 Miller Europe Spa Dispositivo accendigas elettronico integrato con una morsettiera.
JP2001210596A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置の温度制御方法、半導体製造装置、および半導体デバイスの製造方法
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
JP3834216B2 (ja) 2000-09-29 2006-10-18 株式会社日立国際電気 温度制御方法
JP4288110B2 (ja) * 2003-06-17 2009-07-01 三井造船株式会社 半導体製造装置
WO2005124859A2 (en) * 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
JP2006113724A (ja) 2004-10-13 2006-04-27 Omron Corp 制御方法、温度制御方法、温度調節器、熱処理装置、プログラムおよび記録媒体
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
DE102007027704A1 (de) 2007-06-15 2008-12-18 Aixtron Ag Vorrichtung zum Beschichten von auf einem Suszeptor angeordneten Substraten
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
JP2011003677A (ja) * 2009-06-18 2011-01-06 Hitachi Cable Ltd トランジスタ用エピタキシャルウェハの製造方法
US20110185969A1 (en) 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
DE102009043960A1 (de) * 2009-09-08 2011-03-10 Aixtron Ag CVD-Reaktor
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
WO2011052817A1 (ko) * 2009-10-28 2011-05-05 엘아이지에이디피 주식회사 금속 유기물 화학 기상 증착장치 및 이를 위한 온도제어방법
KR101062460B1 (ko) 2009-12-16 2011-09-05 엘아이지에이디피 주식회사 화학기상증착장치의 온도제어방법
US20110259879A1 (en) 2010-04-22 2011-10-27 Applied Materials, Inc. Multi-Zone Induction Heating for Improved Temperature Uniformity in MOCVD and HVPE Chambers
US8698054B2 (en) 2010-09-16 2014-04-15 Bernard Lasko Integral inductor-susceptor
US20120148760A1 (en) 2010-12-08 2012-06-14 Glen Eric Egami Induction Heating for Substrate Processing
US8465590B2 (en) 2011-02-01 2013-06-18 Intermolecular, Inc. Emissivity profile control for thermal uniformity
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
JP2013038169A (ja) * 2011-08-05 2013-02-21 Ulvac Japan Ltd 薄膜製造方法および薄膜製造装置
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
DE102012101717A1 (de) * 2012-03-01 2013-09-05 Aixtron Se Verfahren und Vorrichtung zur Regelung der Oberflächentemperatur eines Suszeptors einer Substratbeschichtungseinrichtung

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005243243A (ja) * 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
JP2007335500A (ja) * 2006-06-13 2007-12-27 Hitachi Kokusai Electric Inc 基板処理装置の温度制御方法
CN101911252A (zh) * 2008-01-19 2010-12-08 东京毅力科创株式会社 载置台装置、处理装置以及温度控制方法
CN102668034A (zh) * 2010-04-08 2012-09-12 夏普株式会社 加热控制系统及具备该加热控制系统的成膜装置、以及温度控制方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109964309A (zh) * 2016-10-11 2019-07-02 欧司朗光电半导体有限公司 加热设备、在晶片复合件中制造半导体芯片的方法和系统
US11574823B2 (en) 2016-10-11 2023-02-07 Osram Oled Gmbh Heating apparatus, method and system for producing semiconductor chips in the wafer assembly
CN109964309B (zh) * 2016-10-11 2023-09-08 欧司朗光电半导体有限公司 加热设备、在晶片复合件中制造半导体芯片的方法和系统

Also Published As

Publication number Publication date
CN105473763B (zh) 2019-03-26
KR20160046869A (ko) 2016-04-29
TW201510270A (zh) 2015-03-16
WO2015024762A1 (de) 2015-02-26
JP6325104B2 (ja) 2018-05-16
JP2016534561A (ja) 2016-11-04
US10438823B2 (en) 2019-10-08
DE102013109155A1 (de) 2015-02-26
TWI676704B (zh) 2019-11-11
KR102343798B1 (ko) 2021-12-24
US20160204008A1 (en) 2016-07-14

Similar Documents

Publication Publication Date Title
CN105473763A (zh) 基板操作设备
JP7060344B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US9089007B2 (en) Method and apparatus for substrate support with multi-zone heating
CN101933121B (zh) 基板支撑单元、基板处理装置及制造基板支撑单元的方法
CN107204306A (zh) 用于控制在处理腔室中的多区域加热器的温度的方法及装置
TW201346975A (zh) 具有來自單一rf功率產生器的個別地控制的線圈電流的三線圈式電感耦合電漿源(一)
CN104835758B (zh) 基板处理装置、加热装置、顶壁隔热体及半导体器件的制造方法
JPH113863A (ja) 基板の加熱装置及び基板の加熱方法
JP2013503976A (ja) Cvd反応炉
US20220106687A1 (en) Cvd reactor having means for locally influencing the susceptor temperature
US20130130184A1 (en) Apparatus and Method for Controlling Wafer Temperature
CN104871292B (zh) 基板处理装置及控制加热器的温度的方法
CN103733328B (zh) 基板支撑单元、基板处理装置、及制造基板支撑单元的方法
KR20200030591A (ko) 열화학 기상 증착(cvd) 균일성을 개선하기 위한 장치 및 방법들
TW201843344A (zh) 用於熱處理基板之方法及裝置
US20060225649A1 (en) Deposition reactor and method of determining its diffuser
CN107845589A (zh) 加热基座以及半导体加工设备
CN104024477B (zh) 多区域气体注入上电极系统
TW201636451A (zh) 用於基板熱處理之裝置及方法
CN105225983B (zh) 耦合窗的加热装置及应用其的反应腔室
EP4271852A1 (en) Systems and methods for a preheat ring in a semiconductor wafer reactor
CN104264218A (zh) 一种用于氢化物气相外延(hvpe)生长的加热装置
CN104372310B (zh) 反应腔室及外延生长设备
CN103794528B (zh) 半导体加工设备
US20210176831A1 (en) Gas distribution ceramic heater for deposition chamber

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant