CN105185727A - 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性 - Google Patents

用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性 Download PDF

Info

Publication number
CN105185727A
CN105185727A CN201510316728.XA CN201510316728A CN105185727A CN 105185727 A CN105185727 A CN 105185727A CN 201510316728 A CN201510316728 A CN 201510316728A CN 105185727 A CN105185727 A CN 105185727A
Authority
CN
China
Prior art keywords
bias voltage
lining treatment
plasma
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510316728.XA
Other languages
English (en)
Other versions
CN105185727B (zh
Inventor
爱德华·奥古斯蒂尼克
克里斯托弗·詹姆斯·拉萨亚
辛格尔·N·阿希尔
卡里姆·布马塔尔
阿鲁尔·迪哈斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/300,854 external-priority patent/US10081869B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201811319771.1A priority Critical patent/CN110098100B/zh
Publication of CN105185727A publication Critical patent/CN105185727A/zh
Application granted granted Critical
Publication of CN105185727B publication Critical patent/CN105185727B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及用分子反应性清扫气体改善DC偏置的缺陷控制和稳定性。一种衬底处理系统包括设置在处理室内的上电极和下电极。气体输送系统选择性地输送前体、一种或多种沉积载气和后沉积清扫气体中的至少一种。RF产生系统在所述气体输送系统输送所述前体和一种或多种沉积载气时,通过提供RF电压到所述上电极和所述下电极中的一个而在所述处理室内在所述上电极和所述下电极之间产生RF等离子体,从而在所述衬底上沉积膜。偏置产生电路在所述气体输送系统输送所述后沉积清扫气体时,选择性地提供DC偏置电压到所述上电极和所述下电极中的一个。由所述气体输送系统输送的所述后沉积清扫气体包括分子反应气体。

Description

用分子反应性清扫气体改善DC偏置的缺陷控制和稳定性
相关申请的交叉引用
本公开是于2014年6月10日提交的美国专利申请No.14/300854的部分继续申请。所引用的该申请的全部公开内容通过引用并入本文。
技术领域
本发明涉及衬底处理系统,尤其涉及在衬底处理系统中使用RF等离子体和反应性后沉积气体进行的缺陷控制。
背景技术
本文所提供的背景描述是为了总体上呈现本公开的内容。当前所冠名的发明人的工作(一定程度上在该背景部分中有所描述)以及在申请时可能没有资格作为现有技术的本说明书的方面,既不能明显地也不能隐含地被当作本公开的现有技术。
用于执行沉积和/或蚀刻的衬底处理系统通常包括具有基座的处理室。衬底(例如半导体晶片)可被布置在基座上。例如在化学气相沉积(CVD)或原子层沉积(ALD)工艺中,包括一种或多种前体的气体混合物可被引入到处理室以在衬底上沉积膜或蚀刻衬底。
在一些衬底处理系统中,射频(RF)等离子体可被用于激活化学反应。使用等离子体的CVD和ALD系统被称为等离子体增强CVD(PECVD)和等离子体增强ALD(PEALD)。发生在气体状态下的一些化学反应导致颗粒在RF等离子体反应体积中的成核、聚集、和/或结块。当RF等离子体接通时,这些颗粒保持悬浮在RF等离子体中。颗粒不会由于作用在颗粒上的力的平衡而落到衬底上。例如,静电斥力使颗粒悬浮在等离子体边界或等离子体鞘层处的RF等离子体中。
在RF激励被关闭之后,这些颗粒会落到衬底上。因此,大多数的衬底处理系统通过抽排残余的气体持续预定的时间段而将处理室抽空。在预定时间段期间,这些颗粒沉淀在处理室内或通过泵抽出。
发明内容
一种衬底处理系统包括设置在处理室内的上电极和下电极。气体输送系统被配置为选择性地输送前体、一种或多种沉积载气和后沉积清扫气体中的至少一种。RF产生系统被配置成在所述气体输送系统输送所述前体和一种或多种沉积载气时,通过提供RF电压到所述上电极和所述下电极中的一个而在所述处理室内在所述上电极和所述下电极之间产生RF等离子体,从而在所述衬底上沉积膜。偏置产生电路被配置为在所述气体输送系统输送所述后沉积清扫气体时,选择性地提供直流(DC)偏置电压到所述上电极和所述下电极中的一个。由所述气体输送系统输送的所述后沉积清扫气体包括分子反应气体。
在其它特征中,所述后沉积清扫气体不包括惰性气体。所述后沉积清扫气体选自所述沉积载气中的一种。在从0.2乇到6乇的处理压强下,所述后沉积清扫气体比氦和氩具有较高的击穿电压。所述DC偏置电压的开始初始化所述RF等离子体熄灭前的第一预定时间段以及所述RF等离子体熄灭后的第二预定时间段中的一个。
在其它特征中,衬底移动系统被配置成在DC偏置电压产生时相对于基座移动所述衬底。所述衬底移动系统包括被配置成相对于基座移动衬底的机械手。
一种衬底处理工具包括N个反应器,每个反应器包括多个衬底处理系统,其中N是大于零的整数。所述衬底移动系统包括换位机构,该换位机构被配置成在所述DC偏置电压产生时将所述衬底在所述N个反应器中的至少一个反应器的多个所述衬底处理系统之间换位。所述偏置产生电路在所述RF等离子体熄灭之前产生所述DC偏置电压并且在后续的RF等离子体被激励之前结束所述DC偏置电压。所述偏置产生电路连续地产生所述DC偏置电压,但不包括所述RF等离子体被激励时的时间段。
在其它特征中,所述RF产生系统包括:RF发生器,其用以产生所述RF电压;以及匹配和分配网络,其与所述上电极和下电极中的所述一个以及所述RF发生器通信。所述膜包括无氮抗反射膜,所述沉积载气包括二氧化碳和氦,而所述后沉积气体包括二氧化碳。所述膜包括非晶硅,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。所述膜包括可灰化硬掩模,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。所述膜包括氮化硅,所述一种或多种沉积载气包括氮分子和氨,而所述后沉积清扫气体包括氮分子。所述膜包括二氧化硅,所述一种或多种沉积载气包括氮分子和一氧化二氮,而所述后沉积清扫气体包括氮分子。所述膜包括碳氧化硅,所述一种或多种沉积载气包括二氧化碳和氦,而所述后沉积清扫气体包括二氧化碳。
一种用于在衬底处理系统中处理衬底的方法包括:选择性地输送前体、一种或多种沉积载气和后沉积清扫气体中的至少一种到处理室;通过在提供RF电压到所述上电极和所述下电极中的一个时并且在输送所述前体和一种或多种沉积载气时在所述处理室内在所述上电极和所述下电极之间产生RF等离子体,从而在所述衬底上沉积膜;以及配置偏置产生电路以选择性地提供DC偏置电压到所述上电极和所述下电极中的一个。在所述DC偏置电压的至少一部分期间输送所述后沉积清扫气体。所述后沉积清扫气体包括分子反应气体。
在其它特征中,所述后沉积清扫气体不包括惰性气体。所述后沉积清扫气体选自所述一种或多种沉积载气中的一种。在从0.2乇到6乇的处理压强下,所述后沉积清扫气体比氦和氩具有较高的击穿电压。所述DC偏置电压的开始初始化所述RF等离子体熄灭前的第一预定时间段以及所述RF等离子体熄灭后的第二预定时间段中的一个。衬底移动系统被配置为在所述DC偏置电压产生时相对于所述基座移动所述衬底。
在其它特征中,所述方法包括在所述DC偏置电压产生时将所述衬底换位。所述方法包括在所述RF等离子体熄灭之前产生所述DC偏置电压并且在随后的RF等离子体被激励之前结束所述DC偏置电压。所述方法包括连续地产生所述DC偏置电压,但不包括在所述RF等离子体被激励时的时间段。
在其它特征中,所述膜包括无氮抗反射膜,所述一种或多种沉积载气包括二氧化碳和氦,而所述后沉积气体包括二氧化碳。所述膜包括非晶硅,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。所述膜包括可灰化硬掩模,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。所述膜包括氮化硅,所述一种或多种沉积载气包括氮分子和氨,而所述后沉积清扫气体包括氮分子。所述膜包括二氧化硅,所述一种或多种沉积载气包括氮分子和一氧化二氮,而所述后沉积清扫气体包括氮分子。所述膜包括碳氧化硅,所述一种或多种沉积载气包括二氧化碳和氦,而所述后沉积清扫气体包括二氧化碳。
本发明的进一步的适用范围将根据具体实施方式、权利要求和附图而变得显而易见。详细的描述和具体实施例意在说明,而并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本发明,其中:
图1A是根据本发明的衬底处理系统的一个实施例的功能框图;
图1B是根据本发明的DC偏置产生系统的一个实施例的功能框图;
图2和3是时序图,其图解了DC偏置电压相对于RF等离子体信号、衬底换位或移动信号和气体供给信号的时序的实施例;
图4A-4B和5示出了衬底处理工具的实施例;
图6A和6B根据本发明示出了用于操作衬底处理系统的方法的实施例;
图7示出了在具有和没有DC偏置电压下处理的衬底的缺陷数;
图8是针对使用氦作为后沉积吹扫气体沉积NFARL的衬底处理系统示出的DC偏置电压随时间而变化的曲线图;
图9是针对使用二氧化碳作为后沉积吹扫气体沉积NFARL的衬底处理系统示出的DC偏置电压随时间而变化的曲线图;
图10A和10B分别示出了根据图8和图9处理之后的衬底的缺陷;
图11是用于产生DC偏置信号的替代的电路装置的功能框图;以及
图12是一种替代的同步电路的功能框图。
在这些附图中,可以重复使用附图标记以识别相似和/或相同的元件。
具体实施方式
一种RF等离子体衬底处理系统可以关联于RF等离子体的时序将DC偏置电压施加到上电极或下电极中的一个。在一些实施例中,DC偏置电压在RF等离子体熄灭前被施加并被维持直到RF等离子体熄灭之后。在一些实施例中,DC偏置电压在RF等离子体熄灭之后被施加。DC偏置电压在处理室的排空期间改变带电颗粒的轨迹,并减少在衬底上的通过在熄灭期间在RF等离子体中悬浮的颗粒导致的缺陷数。当DC偏置电压被施加到上电极或下电极时,衬底可以根据处理系统的要求移动或换位。
DC偏置电压产生静电场,当衬底在工具内移动时,该静电场保持颗粒远离衬底。惰性稀有气体(例如氦和氩)通常用作PEALD和PECVD工艺中的后沉积清扫气体。然而,由于在处理室中,在典型的工艺条件(例如压力、气体流量和电压)下发光放电的形成,导致使用氦和氩作为后沉积清扫气体的工艺对DC偏置电压敏感。其结果是,在使用这些沉积后清扫气体的情况下用于减少颗粒污染的DC偏置电压是不稳定的,并且出现升高的缺陷特征。根据本发明的衬底处理系统利用替代的后沉积清扫气体,该后沉积清扫气体提供稳定的DC偏置电压而没有任何DC辅助的等离子体放电并且减少执行PECVD/PEALD沉积的衬底处理系统的缺陷。
现在参考图1A,其示出了使用RF等离子体进行沉积或蚀刻的衬底处理系统100的示例。例如,衬底处理系统可被用于执行PEALD和PECVD。衬底处理系统100包括处理室102,处理室102包围该衬底处理系统100的其他部件,并包含RF等离子体。衬底处理系统100包括上电极104和包含下电极107的基座106。衬底108被布置在上电极104和下电极107之间的基座106上。
仅作为示例,上电极104可包括喷头109,喷头109引入和分配工艺气体。喷头109可包括杆部,杆部包括与处理室的顶表面连接的一端。底座部分是大致呈圆柱形的,并从杆部的相对端径向向外延伸,杆部的相对端位于与处理室的顶表面间隔开的位置上。喷头的底座部分的面对衬底的表面包括多个孔。替代地,上电极104可包括传导板,并且工艺气体可以以另一种方式被引入。下电极107可以被布置在非传导性的基座内。替代地,基座106可包括静电卡盘,静电卡盘包括作为下电极107的传导性板。
RF产生系统110产生并输出RF电压到上电极104和下电极107中的一个。上电极104和下电极107中的另一个可以DC接地、交流(AC)接地或浮置。仅作为示例,所述RF产生系统110可以包括产生RF电压的RF电压发生器111,RF电压通过匹配和分配网络112馈送到上电极104或下电极107。
如将在下面进一步描述的,偏置产生电路113响应于RF电压和如下所述的其它时序参数的时序的接通/断开产生DC偏置电压。在一些实施例中,偏置产生电路113还可以包括提供DC电压信号的DC电压源114。偏置产生电路113还可以包括响应于RF接通/断开信号而接通/断开DC电压的同步电路115。同步电路115基于RF接通/断开信号的时序确定DC偏置电压的时序。在一些实施例中,同步电路115施加延迟到RF接通/断开信号的转变,以确定DC偏置电压的起点。也可以设定DC偏置电压的持续时间。在一些实施例中,DC偏置电压被施加到接收RF电压的电极以产生RF等离子体。
图1A中示出了一种气体输送系统130的一个实施例。气体输送系统130包括一个或多个气体源132-1、132-2、...、和132-N(统称为气体源132),其中N是大于零的整数。这些气体源提供一种或多种前体和它们的混合物。这些气体源也可提供清扫气体。也可以使用蒸发的前体。气体源132通过阀134-1、134-2、...、和134-N(统称阀134)和质量流量控制器136-1、136-2、...、和136-N(统称为质量流量控制器136)连接到歧管140。歧管140的输出被馈送到处理室102。仅作为示例,歧管140的输出被馈送到该喷头109。
加热器142可连接到布置在基座106内的加热器线圈(未示出)。加热器142可用于控制基座106和衬底108的温度。阀150和泵152可以用于将反应物从处理室102抽空。
控制器160可以用于控制衬底处理系统100的部件。控制器160发送RF等离子体的接通/断开信号至RF产生系统110和同步电路115。控制器160还可以设置用于DC偏置电压的时序参数,如相对RF等离子体的接通/断开信号的开始或结束的时间开启(on)和延迟。
仅作为示例,DC偏置电压可以是具有100至600伏的幅值和正的或负的极性的DC电压。DC偏置电压建立静电场,当RF等离子体关闭时,静电场改变悬浮在等离子体中的带电颗粒的轨迹。这些颗粒由于浸没在RF等离子体中,所以在RF等离子体关闭后,仍然带电。同时,可抽空处理室。受DC偏置影响的带电颗粒的轨迹可以沿途绕过衬底到达泵送端口并有效地保护衬底免受污染。
现在参考图1B,示出了偏置产生电路113的一个实施例。偏置产生电路113包括根据RF等离子体的接通/断开信号的转换而存储一个或多个延迟时间的延迟电路164。偏置产生电路113包括时间开启电路166以存储一个或多个DC偏置电压的一个或多个持续时间。延迟电路164、时间开启电路166和RF接通/断开信号的输出被输入到开关驱动器168,开关驱动器168产生开关驱动信号,以根据需要接通和断开开关170,从而提供DC偏置电压。在一些实施例中,同步电路115的输出通过可选低通滤波器(LPF)180与RF电压隔离。
仅作为示例,开关驱动器168包括通过转换到RF接通或RF断开而启用的触发电路。一旦触发,开关驱动器168等待由延迟电路164设定的延迟时间段。在延迟时间段之后,开关驱动器168通过闭合开关170而接通DC偏置电压,持续由时间开启电路166设定的时间开启时间段。在时间开启时间段之后,开关驱动器168断开开关170以断开DC偏置电压。如可以理解的,DC偏置可以以任何其他合适的方式触发。
现在参考图2-3,示出了不同的DC偏置电压的时序的实施例。在图2中,相对于RF等离子体信号、衬底换位或移动信号、以及气体供给信号示出了DC偏置电压的时序的实施例。通常情况下,当RF等离子体接通时,将提供一种或多种气体或气相前体。如将在下面进一步描述的,当RF等离子体断开并且/或者当DC偏置接通时,可以提供包括分子反应气体的清扫气体(而不是如氩或氦之类的原子惰性气体)。
在一些实施例中,DC偏置200在RF等离子体信号被终止前初始化,并且继续直至RF等离子体信号终止之后。DC偏置电压200的时序可以基于自RF电压开始的延迟t0。DC偏置电压200的时序与RF电压重叠时间段t1、具有时间段t2并且在RF电压结束之后持续时间段(t2-t1)。
在一些实施例中,在衬底换位或以其他方式移动时,供应DC偏置电压。更具体地说,衬底换位或移动信号210可在DC偏置电压期间且在RF电压结束后(例如,在RF电压结束后的时间段t5)以重叠的方式产生。换位或移动可在DC偏置电压(例如DC偏置电压200)的下降沿之前或之后完成。
此外,另一个DC偏置电压215可以在随后的RF等离子体被激励前提供并且在RF等离子体被激励之后不久终止。图2中的DC偏置电压215先于RF电压t3并具有持续时间t4
在图3中,DC偏置电压也可以在衬底处理期间的其他时间提供。例如,图3中的DC偏置电压216可连续提供,但不包括RF等离子体被激励时的时间段t6。为了说明的目的,换位或移动信号延迟时间段t7,并且相比于图2的换位或移动信号具有较长的持续时间t8
在图1A-3中的实施例中,DC偏置电压被提供至上电极104。在这个实施例中,DC偏置电压可以是正的DC电压或负的DC电压。电压极性通过实验来选择,以及可取决于处理系统的结构(设计和尺寸)和处理条件。如可以理解的那样,DC偏置电压可被提供给下电极107而不是上电极。DC偏置电压可以与RF电压一样提供给相同的电极,或者DC偏置电压可以提供给不同的电极,前提是该不同的电极不接地。
现在参考图4A,衬底处理系统100可在包括多个反应器的工具220内实施,每个反应器具有多个衬底处理系统。衬底从通过容器221装载的盒进入工具220,容器221如前开式标准容器(FOUP)。机械手224包括一个或多个末端执行器以搬运衬底。机械手224的压强通常在大气压强下。机械手224将衬底从盒移动到加载锁230。例如衬底通过端口232进入加载锁230并被放置在加载锁基座233上。通往大气环境的端口232关闭,将加载锁230抽排到适于传送的压力。然后端口234打开,另一机械手236(也有一个或多个末端执行器)将衬底穿过端口237-1、237-2、237-3(统称为端口237)中的一个对应于选定的反应器240-1、240-2和240-3(统称为反应器240)放置。
衬底换位机构242可被用来进一步相对于衬底处理室定位衬底。在一些实施例中,换位机构242包括转轴244和传送板246。
反应器240的站中的至少一些对应于衬底处理系统100。反应器240的衬底处理系统100能够与其它站顺序地或同时地执行半导体处理操作,诸如材料沉积或蚀刻。至少一些(通常全部)站执行基于RF的半导体处理操作。使用衬底换位机构242在反应器240内将衬底从一个站移动到下一个站。反应器240的站中的一个或多个可以是能够执行RF等离子体沉积或蚀刻的。在使用期间,衬底被移动到反应器240,被处理,然后被返回到吊舱221。正如可以理解的,减少每个衬底的传送时间,从而提高生产效率和吞吐量。
现在参考图4B,工具控制器250可以与一个或多个控制器254通信,一个或多个控制器254关联于反应器240的站中的每一个。可替代地,工具控制器250和控制器254可组合。工具控制器250还与机械手224和236以及换位机构控制器262通信,以协调在每个反应器240中的衬底的移动和衬底的换位。
现在参考图5,衬底的移动也可以只由一个机械手执行,而不是由机械手和换位机构执行。衬底被输送到传送室274的一个端口,如图4A所示。传送室274将其中的压力泵送到适当的水平。然后,传送室274的另一个端口打开,并且具有一个或多个末端执行器278的机械手276将衬底输送到多个处理室280-1、280-2、...、和280-P(统称为处理室280)中的选定的一个,其中P是大于1的整数。机械手276可沿着轨道279移动。机械手276对应于处理室280中的选定的一个将衬底输送到多个基座282-1、282-2、...、以及282-P中的一个。
现在参考图6A,方法320的实施例被示出。在330处,控制确定处理是否已经开始。如果为真,则控制继续到332,将一个或多个衬底布置在与一个或多个处理室相关联的一个或多个基座上。在336,控制激励在一个或多个处理室内的RF等离子体,并使前体流动持续预定的时间。在338,控制使RF等离子体熄灭,并停止前体的流动。在342,控制使清扫气体流动,清扫气体包含分子反应气体而不是原子惰性气体。在346,控制在RF等离子体熄灭后供给DC偏置电压持续预定的偏置时间段。在一些实施例中,预定偏置时间段在下一次RF等离子体被激励之前结束。
在350,控制确定在进行换位或其它衬底移动之前是否有附加的RF等离子体循环。如果为真,则控制返回336。否则,控制确定换位或其他移动是否是必要的。如果354为真,控制继续到358,并且在偏置时间段期间使衬底换位或以其他方式移动衬底,在359断开DC偏置,然后返回到336。否则,控制继续到360,卸载衬底。
现在参考图6B,方法420的实施例被示出。在430,控制确定处理是否已经开始。如果为真,则控制继续到432,将一个或多个衬底布置在与一个或多个处理室相关联的一个或多个基座上。在436,控制激励在一个或多个处理室内的等离子体,并使前体流动持续预定的时间。在437,控制供给DC偏置电压持续预定偏置时间段,该预定偏置时间段在第一预定的时间段到达(且RF等离子体熄灭)之前开始。在一些实施例中,该预定偏置时间段在后续的RF等离子体被激励之前结束。在438,控制使RF等离子体熄灭,并停止前体的流动。在442,控制使清扫气体流动,清扫气体包含分子反应气体而不是原子惰性气体。在450,控制确定在进行换位或其它衬底移动之前是否有附加的RF等离子体循环。如果为真,则控制返回436。否则,控制确定换位或其他移动是否是必要的。如果454为真,控制继续到458,使衬底换位或以其他方式移动衬底,在459断开DC偏置,然后返回到436。否则,控制继续到460,卸载衬底。
现在参考图7,在衬底上的缺陷数通过供给DC偏置并使用包括分子反应气体而不是原子惰性气体的清扫气体减少。对于处理,在500,当在衬底移动期间不提供DC偏置电压时,以及在520,当在衬底移动期间提供DC偏置时,缺陷的数量被示出。在衬底移动期间施加的DC偏置电压消除了非生产性的等待时间,在移动发生之前,对于泵出残留气体和沉积的气相颗粒,等待时间通常是必要的。
本发明通过利用与膜/膜沉积工艺兼容的清扫气体使用DC偏置电压加压(injection)进一步减少衬底的缺陷。如氦(He)和氩(Ar)等惰性稀有气体通常在PECVD/PEALD系统中用作室清扫气体。在诸如无氮抗反射层(NFARL)、非晶硅(a-Si)以及可灰化硬掩模(AHM)膜之类的无N2膜的情况下,当诸如He和Ar等惰性气体用作清扫气体时,DC偏置电压趋于不稳定。当He和Ar用作清扫气体时,DC辅助等离子体放电发生,从而导致较多的缺陷。
现在参考图8,DC偏置电压特性的一个实施例被示出,其中用He作为NFARL膜沉积工艺中的后沉积清扫气体。只要提供DC加压(在这个例子中,-350V),DC偏置电压就达到最大的负电压值,然后幅值逐渐下降。不受限于任何特别的理论,幅值的下降可能是电极系统的电阻性元件和等离子体的电阻之间形成分压的结果。DC损失也受到电极之间的DC供电等离子体辉光的出现的支持。当氩取代氦时,类似的结果发生。
不受限于特别的理论,利用DC偏置电压和稀有气体(如He、Ar等)进行等离子体放电的可能机制是这些惰性原子气体具有低的击穿电压,这对于典型的工艺条件下的等离子体辉光是有利的。等离子体辉光典型地通过由DC激励而产生的惰性稀有气体的长寿命的、高能量物质而增强。在上电极和下电极之间的不受控制的DC等离子体的存在导致增多的缺陷。此外,该喷头的孔图案可以在出现在衬底上的缺陷看出。
为了减少DC偏置期间的不受控制的DC等离子体,惰性稀有原子清扫气体被分子反应气体替换。仅举例而言,一些NFARL处理同时使用氦和CO2作为沉积气体。在一些实施例中,二氧化碳(CO2)也可以取代He用作后沉积清扫气体以改善DC偏置电压稳定性并减少缺陷。
现在参考图9、图10A和10B,改善的缺陷数可以通过选择适当的在施加DC偏置以减少颗粒数时使用的后沉积清扫气体(如分子反应气体)来实现。例如,在图8中使用的NFARL过程中使用CO2而不是He时,DC偏置电压是稳定的,如图9中所示。在图10A中,NFARL膜被显示为使用He作为后沉积清扫气体。在图10B中,相比于图10A,当使用CO2时,NFARL膜被显示为具有显著减少的缺陷数。
对于利用He和Ar的后清扫压强(0.2至6乇)和气体流率(1至10slm)重复进行这些测试/模拟。在这些条件下,也观察到DC偏置电压的不稳定性和发光等离子体放电。然而,当使用CO2作为后沉积清扫气体时,DC偏置电压是稳定的,并且在PECVD反应器中没有观察到由DC偏置电压导致的等离子体激励。
现在参考图11-12,示出了DC偏置产生系统的一个实施例。在图11中,用于产生DC偏置信号的一种替代的电路装置600被示出。工具控制器610发送控制信号给DC源618以供给DC偏置电压。工具控制器610还发送控制信号到输入/输出控制器614,输入/输出控制器614控制同步电路622、RF发生器632和RF匹配电路636。同步电路622(DC偏置信号)的输出通过RF滤波器628过滤,结合RF匹配电路636的输出并输入到RF分配电路640。RF分配电路640提供输出到电极642和644(诸如,例如,喷头或埋入基座中的电极)。在图12中,同步电路622可包括极性控制器650和接通/断开控制器655,极性控制器650控制DC偏置信号的极性,而接通/断开控制器655基于来自输入/输出控制器614的控制信号根据需要接通DC偏置和断开DC偏置。
尽管前面的描述涉及NFARL膜和各种后沉积清扫气体,但其它膜类型也受益于选择分子反应气体作为后沉积清扫气体。对于非晶硅(a-Si),He和H2通常用作沉积载气,而氢分子(H2)可被用作所述后沉积清扫气体。对于可灰化硬掩模(AHM),He和H2被用作沉积载气,而H2被用作后沉积气体。对于氮化硅(SiN),氨(NH3)和氮分子(N2)被用作沉积载气,而N2用作后沉积气体。对于SiO2,N2O和N2被用作沉积载气,而N2被用作后沉积气体。对于碳氧化硅(SiOC),CO2和He被用作后沉积载气,而CO2被用作后沉积气体。
前面的描述在本质上仅仅是说明性的并且不意在以任何方式限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定实施例,但本公开的真正范围不应被如此限制,因为在研究了附图、说明书和随附权利要求后,其它的修改方案将变得显而易见。如本文中所使用的短语“A,B和C中的至少一个”应当解释为是指使用非排他性的逻辑“或”的逻辑(A或B或C),并且不应当被解释为是指“至少一个A、至少一个B和至少一个C”。应该理解的是,方法中的一个或多个步骤在不改变本发明原理的情况下可以以不同的顺序(或同时)执行。
在一些实现方案中,控制器是系统的一部分,系统可以是上述实施例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、一个或多个用于处理的平台、和/或特定的处理部件(晶片基座、气体流动系统等)。这些系统可与用于在半导体晶片或衬底的处理之前、之中以及之后控制它们的操作的电子器件集成。电子器件可指“控制器”,控制器可控制一或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可被编程以控制此处所公开的任何工艺,包括工艺气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、定位和操作设置、进出工具和其他传送工具和/或连接到或与具体系统交接的装载锁的晶片传送。
广义地说,控制器可被定义为接收指令、发布指令、控制操作、实现清洁操作、实现端点测量等的具有多种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以多种个体设置(或程序文件)的形式与控制器通信、定义用于在半导体晶片上或为半导体晶片或者对系统执行特定工艺的操作参数的指令。在一些实施方式中,操作参数可以是配方的组成部分,配方由工艺工程师定义以在一或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的裸片的制造过程中完成一或多个处理步骤。
在一些实施方式中,控制器可以是计算机的组成部分或耦合到计算机,计算机与该系统集成或耦合到该系统、或者以其它方式网络连接到该系统、或者它们的组合。例如,控制器可在“云”中或者是工厂(fab)主机计算机系统的整体或组成部分,可允许远程访问晶片处理。计算机可实现对该系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查来自多个制造操作的趋势或性能指标,以改变当前处理的参数,以设置处理步骤从而跟随当前处理,或者以开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可通过网络提供工艺配方给系统,网络可包括局域网或互联网。远程计算机可包括实现参数和/或设置的输入或编程的用户界面,参数和/或设置接着从远程计算机被传送给该系统。在一些实施例中,控制器接收数据形式的指令,所述数据指明要在一或多个操作期间执行的处理步骤中的每一个处理步骤的参数。应当理解,所述参数针对待执行的工艺的类型和工具的类型可以是特定的,控制器被配置为与所述工具交接或控制所述类型工具。因此,如前所述,控制器可以是分布式的,比如包括被网络连接在一起且为共同目的(比如本文所述的工艺和控制)工作的一或多个分立控制器。为这种目的的分布式控制器的示例可以是在与位于远程的(比如在平台层面或者作为远程计算机的组成部分)一或多个集成电路通信的室上的一或多个集成电路,其结合来控制该室上的工艺。
在不具限制的情况下,示例系统可包括等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及可与半导体晶片的制造和/或生产相关联或者在半导体晶片的制造和/或生产中使用的任何其他半导体处理系统。
如前所述,根据待由工具执行的一或多个工艺步骤,控制器可与其他工具电路或模块、其他工具部件,群簇工具,其他工具接口,相邻工具,邻近工具,纵贯工厂、主机、另一控制器分布的工具、或者在带着晶片容器往来于半导体制造工厂中的工具位置和/或装载端口的材料运输中使用的工具中的一或多个通信。

Claims (52)

1.一种衬底处理系统,其包括:
处理室;
设置在所述处理室内的上电极;
设置在所述处理室内的基座,其中,所述基座被配置成在处理期间支撑衬底,且其中所述基座包括下电极;
RF产生系统,其被配置成通过提供RF电压到所述上电极和所述下电极中的一个而在所述处理室内在所述上电极和所述下电极之间产生RF等离子体;
偏置产生电路,其被配置为选择性地提供DC偏置电压到所述上电极和所述下电极中的一个,
其中,所述DC偏置电压的开始初始化所述RF等离子体熄灭前的第一预定时间段以及所述RF等离子体熄灭后的第二预定时间段中的一个;以及
衬底移动系统,其被配置为在所述DC偏置电压产生的同时相对于所述基座移动所述衬底。
2.根据权利要求1所述的衬底处理系统,其中所述偏置产生电路包括:
DC电压源;和
与所述DC电压源通信并且被配置为产生所述DC偏置电压的同步电路。
3.根据权利要求2所述的衬底处理系统,其中所述偏置产生电路还包括低通滤波器,该低通滤波器被配置成过滤所述同步电路的输出并具有与所述上电极和下电极中的一个通信的输出。
4.根据权利要求1所述的衬底处理系统,其中所述衬底移动系统包括被配置成相对于所述基座移动所述衬底的机械手。
5.一种衬底处理工具,其包括:
N个反应器,每个反应器包括多个根据权利要求1所述的衬底处理系统,其中N是大于零的整数,
其中,所述衬底移动系统包括换位机构,该换位机构被配置成在所述DC偏置电压产生时将所述衬底在所述N个反应器中的至少一个反应器的多个所述衬底处理系统之间换位。
6.根据权利要求1所述的衬底处理系统,其中,所述DC偏置电压和所述RF电压两者都被连接到所述上电极和所述下电极中的一个。
7.根据权利要求1所述的衬底处理系统,其中,所述偏置产生电路在所述RF等离子体熄灭之前产生所述DC偏置电压并且在后续的RF等离子体被激励之前结束所述DC偏置电压。
8.根据权利要求1所述的衬底处理系统,其中,所述偏置产生电路在所述RF等离子体熄灭之后产生所述DC偏置电压并且在后续的RF等离子体被激励之前结束所述DC偏置电压。
9.根据权利要求1所述的衬底处理系统,其中,所述偏置产生电路连续地产生所述DC偏置电压,但不包括所述RF等离子体被激励时的时间段。
10.根据权利要求1所述的衬底处理系统,其中所述RF产生系统包括:
RF发生器,其用以产生所述RF电压;和
匹配和分配网络,其与所述上电极和所述下电极中的所述一个以及所述RF发生器通信。
11.一种衬底处理系统,其包括:
处理室;
设置在所述处理室内的上电极;
设置在所述处理室内的基座,其中,所述基座被配置成支撑衬底,且其中所述基座包括下电极;
RF产生系统,其被配置成通过提供RF电压到所述上电极而在所述处理室内在所述上电极和所述下电极之间产生RF等离子体;
偏置产生电路,其被配置为选择性地通过提供DC偏置电压到所述上电极开始在所述RF电压结束前的第一预定时间段以及在所述RF电压后的第二预定时间段中的一个。
12.根据权利要求11所述的衬底处理系统,其中,所述偏置产生电路包括:
DC电压源;和
与所述DC电压源通信并且被配置为产生所述DC偏置电压的同步电路。
13.根据权利要求12所述的衬底处理系统,其中所述偏置产生电路还包括低通滤波器,该低通滤波器被配置成过滤所述同步电路的输出并具有与所述上电极通信的输出。
14.根据权利要求11所述的衬底处理系统,其进一步包括衬底移动系统,所述衬底移动系统被配置成在所述DC偏置电压产生时相对于所述基座移动所述衬底。
15.根据权利要求11所述的衬底处理系统,其进一步包括机械手,所述机械手被配置成相对于所述基座移动所述衬底,其中所述机械手在所述DC偏置电压产生时移动所述衬底。
16.一种衬底处理工具,其包括:
N个反应器,每个反应器包括多个根据权利要求11所述的衬底处理系统,其中N是大于零的整数,以及
换位机构,该换位机构被配置成在所述DC偏置电压产生时将所述衬底在所述N个反应器中的至少一个反应器的多个所述衬底处理系统之间换位。
17.根据权利要求11所述的衬底处理系统,其中,所述偏置产生电路在所述RF等离子体熄灭之前产生所述DC偏置电压并且在所述RF等离子体熄灭之后结束所述DC偏置电压。
18.根据权利要求11所述的衬底处理系统,其中,所述偏置产生电路在所述RF等离子体熄灭之后产生所述DC偏置电压并且在后续的RF等离子体被激励之前结束所述DC偏置电压。
19.根据权利要求11所述的衬底处理系统,其中,所述偏置产生电路连续地产生所述DC偏置电压,但不包括所述RF等离子体被激励时。
20.根据权利要求11所述的衬底处理系统,其中所述RF产生系统包括:
RF发生器,其用以产生所述RF电压;和
匹配和分配网络,其与所述RF发生器和所述上电极通信。
21.一种衬底处理系统,其包括:
设置在所述处理室内的上电极和下电极;
设置在所述处理室内的基座,其中,所述基座被配置成在处理期间支撑衬底,且其中所述基座包括所述下电极;
气体输送系统,其被配置为选择性地输送前体、一种或多种沉积载气和后沉积清扫气体中的至少一种;
RF产生系统,其被配置成在所述气体输送系统输送所述前体和所述一种或多种沉积载气时,通过提供RF电压到所述上电极和所述下电极中的一个而在所述处理室内在所述上电极和所述下电极之间产生RF等离子体,从而在所述衬底上沉积膜;以及
偏置产生电路,其被配置为在所述气体输送系统输送所述后沉积清扫气体时,选择性地提供DC偏置电压到所述上电极和所述下电极中的一个,
其中,由所述气体输送系统输送的所述后沉积清扫气体包括分子反应气体。
22.根据权利要求21所述的衬底处理系统,其中所述后沉积清扫气体不包括惰性气体。
23.根据权利要求21所述的衬底处理系统,其中所述后沉积清扫气体选自所述沉积载气中的一种。
24.根据权利要求21所述的衬底处理系统,其中在从0.2乇到6乇的处理压强下,所述后沉积清扫气体比氦和氩具有较高的击穿电压。
25.根据权利要求21所述的衬底处理系统,其中所述DC偏置电压的开始初始化所述RF等离子体熄灭前的第一预定时间段以及所述RF等离子体熄灭后的第二预定时间段中的一个。
26.根据权利要求21所述的衬底处理系统,其进一步包括衬底移动系统,所述衬底移动系统被配置成在所述DC偏置电压产生时相对于所述基座移动所述衬底。
27.根据权利要求6所述的衬底处理系统,其中所述衬底移动系统包括被配置成相对于所述基座移动所述衬底的机械手。
28.一种衬底处理工具,其包括:
N个反应器,每个反应器包括多个根据权利要求6所述的衬底处理系统,其中N是大于零的整数,
其中,所述衬底移动系统包括换位机构,该换位机构被配置成在所述DC偏置电压产生时将所述衬底在所述N个反应器中的至少一个反应器的多个所述衬底处理系统之间换位。
29.根据权利要求21所述的衬底处理系统,其中,所述偏置产生电路在所述RF等离子体熄灭之前产生所述DC偏置电压并且在后续的RF等离子体被激励之前结束所述DC偏置电压。
30.根据权利要求21所述的衬底处理系统,其中,所述偏置产生电路连续地产生所述DC偏置电压,但不包括所述RF等离子体被激励时的时间段。
31.根据权利要求21所述的衬底处理系统,其中所述RF产生系统包括:
RF发生器,其用以产生所述RF电压;和
匹配和分配网络,其与所述上电极和下电极中的所述一个以及所述RF发生器通信。
32.根据权利要求21所述的衬底处理系统,所述膜包括无氮抗反射膜,所述沉积载气包括二氧化碳和氦,而所述后沉积气体包括二氧化碳。
33.根据权利要求21所述的衬底处理系统,所述膜包括非晶硅,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。
34.根据权利要求21所述的衬底处理系统,其中,所述膜包括可灰化硬掩模,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。
35.根据权利要求21所述的衬底处理系统,其中,所述膜包括氮化硅,所述一种或多种沉积载气包括氮分子和氨,而所述后沉积清扫气体包括氮分子。
36.根据权利要求21所述的衬底处理系统,其中,所述膜包括二氧化硅,所述一种或多种沉积载气包括氮分子和一氧化二氮,而所述后沉积清扫气体包括氮分子。
37.根据权利要求21所述的衬底处理系统,其中,所述膜包括碳氧化硅,所述一种或多种沉积载气包括二氧化碳和氦,而所述后沉积清扫气体包括二氧化碳。
38.一种用于在衬底处理系统中处理衬底的方法,其包括:
选择性地输送前体、一种或多种沉积载气和后沉积清扫气体中的至少一种到处理室;
通过在提供RF电压到所述上电极和所述下电极中的一个时并且在输送所述前体和一种或多种沉积载气时在所述处理室内在所述上电极和所述下电极之间产生RF等离子体,从而在所述衬底上沉积膜;以及
配置偏置产生电路以选择性地提供DC偏置电压到所述上电极和所述下电极中的一个,
其中在所述DC偏置电压的至少一部分期间输送所述后沉积清扫气体,以及
其中,所述后沉积清扫气体包括分子反应气体。
39.根据权利要求38所述的方法,其中所述后沉积清扫气体不包括惰性气体。
40.根据权利要求38所述的方法,其中,所述后沉积清扫气体选自所述一种或多种沉积载气中的一种。
41.根据权利要求38所述的方法,其中,在从0.2乇到6乇的处理压强下,所述后沉积清扫气体比氦和氩具有较高的击穿电压。
42.根据权利要求38所述的方法,其中,所述DC偏置电压的开始初始化所述RF等离子体熄灭前的第一预定时间段以及所述RF等离子体熄灭后的第二预定时间段中的一个。
43.根据权利要求38所述的方法,其进一步包括在所述DC偏置电压产生时相对于所述基座移动所述衬底。
44.根据权利要求38所述的方法,其进一步包括在所述DC偏置电压产生时将所述衬底换位。
45.根据权利要求38所述的方法,其进一步包括在所述RF等离子体熄灭之前产生所述DC偏置电压并且在随后的RF等离子体被激励之前结束所述DC偏置电压。
46.根据权利要求38所述的方法,其进一步包括连续地产生所述DC偏置电压,但不包括在所述RF等离子体被激励时的时间段。
47.根据权利要求38所述的方法,其中所述膜包括无氮抗反射膜,所述一种或多种沉积载气包括二氧化碳和氦,而所述后沉积气体包括二氧化碳。
48.根据权利要求38所述的方法,其中所述膜包括非晶硅,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。
49.根据权利要求38所述的方法,其中所述膜包括可灰化硬掩模,所述一种或多种沉积载气包括氢分子和氦,而所述后沉积清扫气体包括氢分子。
50.根据权利要求38所述的方法,其中所述膜包括氮化硅,所述一种或多种沉积载气包括氮分子和氨,而所述后沉积清扫气体包括氮分子。
51.根据权利要求38所述的方法,其中所述膜包括二氧化硅,所述一种或多种沉积载气包括氮分子和一氧化二氮,而所述后沉积清扫气体包括氮分子。
52.根据权利要求38所述的方法,其中所述膜包括碳氧化硅,所述一种或多种沉积载气包括二氧化碳和氦,而所述后沉积清扫气体包括二氧化碳。
CN201510316728.XA 2014-06-10 2015-06-10 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性 Active CN105185727B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811319771.1A CN110098100B (zh) 2014-06-10 2015-06-10 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/300,854 US10081869B2 (en) 2014-06-10 2014-06-10 Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US14/300,854 2014-06-10
US14/519,520 US10047438B2 (en) 2014-06-10 2014-10-21 Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US14/519,520 2014-10-21

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811319771.1A Division CN110098100B (zh) 2014-06-10 2015-06-10 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性

Publications (2)

Publication Number Publication Date
CN105185727A true CN105185727A (zh) 2015-12-23
CN105185727B CN105185727B (zh) 2018-12-04

Family

ID=54769102

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510316728.XA Active CN105185727B (zh) 2014-06-10 2015-06-10 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性
CN201811319771.1A Active CN110098100B (zh) 2014-06-10 2015-06-10 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201811319771.1A Active CN110098100B (zh) 2014-06-10 2015-06-10 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性

Country Status (6)

Country Link
US (3) US10047438B2 (zh)
JP (2) JP6679222B2 (zh)
KR (2) KR102423181B1 (zh)
CN (2) CN105185727B (zh)
SG (2) SG10201906712PA (zh)
TW (1) TWI663284B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US10043636B2 (en) 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
PL3711080T3 (pl) * 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
WO2020014113A1 (en) * 2018-07-09 2020-01-16 Lam Research Corporation Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
JP7234036B2 (ja) * 2019-05-28 2023-03-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7389573B2 (ja) * 2019-06-26 2023-11-30 株式会社アルバック プラズマ処理装置およびプラズマ処理方法
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
US20220119952A1 (en) * 2020-10-20 2022-04-21 Applied Materials, Inc. Method of reducing defects in a multi-layer pecvd teos oxide film
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
TW287293B (en) * 1995-06-07 1996-10-01 Materials Research Corp Plasma processing system with reduced particle contamination
JPH10321604A (ja) * 1997-05-22 1998-12-04 Nec Kyushu Ltd プラズマ処理装置
US20080053817A1 (en) * 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
DE3830249A1 (de) * 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US5294320A (en) 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
JP3137682B2 (ja) 1991-08-12 2001-02-26 株式会社日立製作所 半導体装置の製造方法
US5232618A (en) 1991-09-30 1993-08-03 E. I. Du Pont De Nemours And Company Substantially constant boiling compositions of difluoromethane and trifluoroethane or perfluoroethane
JP2647585B2 (ja) 1991-11-28 1997-08-27 三菱電機株式会社 自動薄膜計測装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6245189B1 (en) 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
KR100192489B1 (ko) 1995-12-26 1999-06-15 구본준 용기를 갖는 습식에치 장치의 에치 종말점 측정방법
US5672242A (en) 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5902494A (en) 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5924058A (en) 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
DE19713637C2 (de) 1997-04-02 1999-02-18 Max Planck Gesellschaft Teilchenmanipulierung
JP4120974B2 (ja) 1997-06-17 2008-07-16 キヤノンアネルバ株式会社 薄膜作製方法および薄膜作製装置
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
DE19814871A1 (de) 1998-04-02 1999-10-07 Max Planck Gesellschaft Verfahren und Vorrichtung zur gezielten Teilchenmanipulierung und -deposition
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6286685B1 (en) 1999-03-15 2001-09-11 Seh America, Inc. System and method for wafer thickness sorting
US6194234B1 (en) 1999-06-04 2001-02-27 Taiwan Semiconductor Manufacturing Company Method to evaluate hemisperical grain (HSG) polysilicon surface
JP3639868B2 (ja) 1999-06-29 2005-04-20 株式会社東北テクノアーチ プラズマ中微粒子ダストの処理方法及びその装置
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
GB0016562D0 (en) 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6841033B2 (en) 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
JP3555084B2 (ja) 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 半導体基板に対するプラズマ処理方法及び半導体基板のためのプラズマ処理装置
US6790376B1 (en) 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US6708559B2 (en) 2001-09-28 2004-03-23 Infineon Technologies Ag Direct, non-destructive measurement of recess depth in a wafer
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6922603B1 (en) 2002-09-26 2005-07-26 Lam Research Corporation System and method for quantifying uniformity patterns for tool development and monitoring
US6642531B1 (en) 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US8608422B2 (en) 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US7207339B2 (en) 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
DE102004007952B3 (de) 2004-02-18 2005-09-01 Infineon Technologies Ag Verfahren zum Bestimmen der Tiefe von in einem Trägersubstrat ausgebildeten Vertiefungen
US7659212B2 (en) 2004-03-22 2010-02-09 Mimasu Semiconductor Industry Co., Ltd. Process control method in spin etching and spin etching apparatus
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
EP2479783B1 (en) * 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4796965B2 (ja) 2004-07-02 2011-10-19 株式会社アルバック エッチング方法及び装置
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
KR100672820B1 (ko) 2004-11-12 2007-01-22 삼성전자주식회사 플라즈마를 사용한 피처리체의 처리 방법
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7799138B2 (en) 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
GB0620196D0 (en) 2006-10-11 2006-11-22 Metryx Ltd Measuring apparatus
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
GB0704936D0 (en) 2007-03-14 2007-04-25 Metryx Ltd Measuring apparatus
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR100876836B1 (ko) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
GB0719469D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0800227D0 (en) 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
GB0804499D0 (en) 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
JP5397215B2 (ja) 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP2012096432A (ja) * 2010-11-01 2012-05-24 Sony Corp バリアフィルム及びその製造方法
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
JP2012188701A (ja) * 2011-03-10 2012-10-04 Kanagawa Acad Of Sci & Technol 被膜形成装置及び被膜形成方法
US8501500B2 (en) 2011-06-20 2013-08-06 The Institute of Microelectronics, Chinese Academy of Science Method for monitoring the removal of polysilicon pseudo gates
JP2013125796A (ja) * 2011-12-13 2013-06-24 Hitachi High-Technologies Corp プラズマ処理方法および装置
JP2013125761A (ja) * 2011-12-13 2013-06-24 Sharp Corp 半導体製造装置及び半導体製造方法
JP2013239574A (ja) * 2012-05-15 2013-11-28 Tokyo Electron Ltd 太陽電池の製造方法及びプラズマ処理装置
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
WO2014080785A1 (ja) * 2012-11-26 2014-05-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
TW287293B (en) * 1995-06-07 1996-10-01 Materials Research Corp Plasma processing system with reduced particle contamination
JPH10321604A (ja) * 1997-05-22 1998-12-04 Nec Kyushu Ltd プラズマ処理装置
US20080053817A1 (en) * 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头

Also Published As

Publication number Publication date
TW201614097A (en) 2016-04-16
JP2020114942A (ja) 2020-07-30
KR102423181B1 (ko) 2022-07-19
US20180347046A1 (en) 2018-12-06
TWI663284B (zh) 2019-06-21
KR20220104667A (ko) 2022-07-26
US10047438B2 (en) 2018-08-14
US20200332418A1 (en) 2020-10-22
KR20150141907A (ko) 2015-12-21
JP7254042B2 (ja) 2023-04-07
JP6679222B2 (ja) 2020-04-15
CN110098100B (zh) 2022-01-04
JP2016040409A (ja) 2016-03-24
CN105185727B (zh) 2018-12-04
US20150354061A1 (en) 2015-12-10
KR102576851B1 (ko) 2023-09-08
CN110098100A (zh) 2019-08-06
US10704149B2 (en) 2020-07-07
SG10201504548UA (en) 2016-01-28
SG10201906712PA (en) 2019-09-27

Similar Documents

Publication Publication Date Title
CN105185727A (zh) 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性
US10903071B2 (en) Selective deposition of silicon oxide
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
JP6758839B2 (ja) 基板処理システムにおいてハードマスクとして使用する非晶質炭素およびシリコン膜の金属ドーピング
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
CN108735675A (zh) 氧化硅的选择性沉积
US20190040528A1 (en) Hardware and process for film uniformity improvement
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
KR102500931B1 (ko) 하드마스크들을 위한 금속 유전체 막의 증착
CN108735577A (zh) 选择性沉积用于互连的wcn阻挡/粘附层
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant