SG10201504548UA - Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas - Google Patents

Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas

Info

Publication number
SG10201504548UA
SG10201504548UA SG10201504548UA SG10201504548UA SG10201504548UA SG 10201504548U A SG10201504548U A SG 10201504548UA SG 10201504548U A SG10201504548U A SG 10201504548UA SG 10201504548U A SG10201504548U A SG 10201504548UA SG 10201504548U A SG10201504548U A SG 10201504548UA
Authority
SG
Singapore
Prior art keywords
bias
plasma
stability
substrate processing
purge gas
Prior art date
Application number
SG10201504548UA
Inventor
Augustyniak Edward
James Ramsayer Christopher
N Singhal Akhil
Boumatar Kareem
Dhas Arul
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/300,854 external-priority patent/US10081869B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201504548UA publication Critical patent/SG10201504548UA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
SG10201504548UA 2014-06-10 2015-06-09 Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas SG10201504548UA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/300,854 US10081869B2 (en) 2014-06-10 2014-06-10 Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US14/519,520 US10047438B2 (en) 2014-06-10 2014-10-21 Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Publications (1)

Publication Number Publication Date
SG10201504548UA true SG10201504548UA (en) 2016-01-28

Family

ID=54769102

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10201906712PA SG10201906712PA (en) 2014-06-10 2015-06-09 Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas
SG10201504548UA SG10201504548UA (en) 2014-06-10 2015-06-09 Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG10201906712PA SG10201906712PA (en) 2014-06-10 2015-06-09 Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas

Country Status (6)

Country Link
US (3) US10047438B2 (en)
JP (2) JP6679222B2 (en)
KR (2) KR102423181B1 (en)
CN (2) CN110098100B (en)
SG (2) SG10201906712PA (en)
TW (1) TWI663284B (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
JP7235761B2 (en) * 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド Synchronous pulsing of plasma processing source and substrate bias
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11557460B2 (en) * 2018-07-09 2023-01-17 Lam Research Corporation Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
TW202104656A (en) * 2019-03-28 2021-02-01 美商蘭姆研究公司 Showerhead shroud
JP7234036B2 (en) * 2019-05-28 2023-03-07 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP7389573B2 (en) * 2019-06-26 2023-11-30 株式会社アルバック Plasma processing equipment and plasma processing method
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US20220119952A1 (en) * 2020-10-20 2022-04-21 Applied Materials, Inc. Method of reducing defects in a multi-layer pecvd teos oxide film
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
DE3830249A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke PLASMA PROCESS FOR COATING LEVEL SUBSTRATES
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5294320A (en) 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
JP3137682B2 (en) 1991-08-12 2001-02-26 株式会社日立製作所 Method for manufacturing semiconductor device
US5232618A (en) 1991-09-30 1993-08-03 E. I. Du Pont De Nemours And Company Substantially constant boiling compositions of difluoromethane and trifluoroethane or perfluoroethane
JP2647585B2 (en) 1991-11-28 1997-08-27 三菱電機株式会社 Automatic thin film measuring device
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6245189B1 (en) 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5573597A (en) 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
KR100192489B1 (en) 1995-12-26 1999-06-15 구본준 Method for measuring wet etch
US5672242A (en) 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5902494A (en) 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JP3220383B2 (en) 1996-07-23 2001-10-22 東京エレクトロン株式会社 Plasma processing apparatus and method
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
JP3402972B2 (en) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 Method for manufacturing semiconductor device
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5924058A (en) 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
DE19713637C2 (en) 1997-04-02 1999-02-18 Max Planck Gesellschaft Particle manipulation
JPH10321604A (en) * 1997-05-22 1998-12-04 Nec Kyushu Ltd Plasma treatment device
JP4120974B2 (en) 1997-06-17 2008-07-16 キヤノンアネルバ株式会社 Thin film manufacturing method and thin film manufacturing apparatus
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
DE19814871A1 (en) 1998-04-02 1999-10-07 Max Planck Gesellschaft Method and device for targeted particle manipulation and deposition
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2000026975A (en) 1998-07-09 2000-01-25 Komatsu Ltd Surface treating device
JP4153606B2 (en) 1998-10-22 2008-09-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
US6286685B1 (en) 1999-03-15 2001-09-11 Seh America, Inc. System and method for wafer thickness sorting
US6194234B1 (en) 1999-06-04 2001-02-27 Taiwan Semiconductor Manufacturing Company Method to evaluate hemisperical grain (HSG) polysilicon surface
JP3639868B2 (en) 1999-06-29 2005-04-20 株式会社東北テクノアーチ Method and apparatus for processing fine particle dust in plasma
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP4592867B2 (en) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 Parallel plate type plasma CVD apparatus and dry cleaning method
GB0016562D0 (en) 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6841033B2 (en) 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
JP3555084B2 (en) 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 Plasma processing method for semiconductor substrate and plasma processing apparatus for semiconductor substrate
US6790376B1 (en) 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US6708559B2 (en) 2001-09-28 2004-03-23 Infineon Technologies Ag Direct, non-destructive measurement of recess depth in a wafer
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6922603B1 (en) 2002-09-26 2005-07-26 Lam Research Corporation System and method for quantifying uniformity patterns for tool development and monitoring
US6642531B1 (en) 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
JP4418193B2 (en) 2003-08-22 2010-02-17 東京エレクトロン株式会社 Particle removal apparatus, particle removal method, and plasma processing apparatus
US8608422B2 (en) 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US7207339B2 (en) 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
DE102004007952B3 (en) 2004-02-18 2005-09-01 Infineon Technologies Ag Determining depth of recesses formed in supporting substrate involves recording and evaluating time profile of reduction in weight of substrate during evaporation of moistening substance
CN100508133C (en) 2004-03-22 2009-07-01 三益半导体工业株式会社 Schedule control method in spin etching and spin etching system
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
TWI447803B (en) * 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable memory medium
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
WO2006003962A1 (en) 2004-07-02 2006-01-12 Ulvac, Inc. Etching method and system
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
KR100672820B1 (en) 2004-11-12 2007-01-22 삼성전자주식회사 Method of processing a processed object using plasma
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7799138B2 (en) 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US20080053817A1 (en) 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
GB0620196D0 (en) 2006-10-11 2006-11-22 Metryx Ltd Measuring apparatus
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
GB0704936D0 (en) 2007-03-14 2007-04-25 Metryx Ltd Measuring apparatus
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR100876836B1 (en) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 Method for fabricating semiconductor device
GB0719469D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0800227D0 (en) 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
JP5224837B2 (en) * 2008-02-01 2013-07-03 株式会社東芝 Substrate plasma processing apparatus and plasma processing method
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
GB0804499D0 (en) 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
JP5397215B2 (en) 2009-12-25 2014-01-22 ソニー株式会社 Semiconductor manufacturing apparatus, semiconductor device manufacturing method, simulation apparatus, and simulation program
JP2012096432A (en) * 2010-11-01 2012-05-24 Sony Corp Barrier film, and method of manufacturing the same
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
JP2012188701A (en) * 2011-03-10 2012-10-04 Kanagawa Acad Of Sci & Technol Apparatus and method for forming coating film
US8501500B2 (en) 2011-06-20 2013-08-06 The Institute of Microelectronics, Chinese Academy of Science Method for monitoring the removal of polysilicon pseudo gates
JP2013125761A (en) * 2011-12-13 2013-06-24 Sharp Corp Semiconductor manufacturing device and semiconductor manufacturing method
JP2013125796A (en) * 2011-12-13 2013-06-24 Hitachi High-Technologies Corp Plasma processing method and device
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
JP2013239574A (en) * 2012-05-15 2013-11-28 Tokyo Electron Ltd Method for manufacturing solar cell and plasma processing device
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
WO2014080785A1 (en) * 2012-11-26 2014-05-30 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing device, and recording medium
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates

Also Published As

Publication number Publication date
KR102576851B1 (en) 2023-09-08
KR20150141907A (en) 2015-12-21
CN110098100A (en) 2019-08-06
US10704149B2 (en) 2020-07-07
CN105185727B (en) 2018-12-04
SG10201906712PA (en) 2019-09-27
JP6679222B2 (en) 2020-04-15
US20150354061A1 (en) 2015-12-10
JP2016040409A (en) 2016-03-24
KR20220104667A (en) 2022-07-26
JP7254042B2 (en) 2023-04-07
US20200332418A1 (en) 2020-10-22
US10047438B2 (en) 2018-08-14
JP2020114942A (en) 2020-07-30
TWI663284B (en) 2019-06-21
TW201614097A (en) 2016-04-16
CN105185727A (en) 2015-12-23
KR102423181B1 (en) 2022-07-19
CN110098100B (en) 2022-01-04
US20180347046A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
SG10201504548UA (en) Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas
SG10201504792WA (en) Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
EP3345207A4 (en) Plasma rf bias cancellation system
EP3138123A4 (en) Gas cushion apparatus and techniques for substrate coating
IL241077B (en) In-line wafer edge inspection, wafer pre-alignment, and wafer cleaning
SG11201704138PA (en) Precleaning chamber and plasma processing apparatus
IL259313A (en) Systems and methods for frc plasma position stability
TWI561123B (en) Plasma chamber and apparatus for treating substrate
SG11201606759TA (en) Reaction chamber and plasma processing apparatus
EP3230356A4 (en) Compositions and methods for crosslinking polymers in the presence of atmospheric oxygen
SG10201504320PA (en) Systems and methods for removing particles from a substrate processing chamber using rf plasma cycling and purging
EP3157047A4 (en) Purge stocker and purging method
EP3323142A4 (en) Methods and compositions for processing dielectric substrate
EP3194165A4 (en) Low voc and high solid fluoropolymer for coating applications
SG11201702404XA (en) Plasma processing method and plasma processing apparatus
EP3223303A4 (en) Etching method for sic substrate and holding container
SG11201609351YA (en) Microwave induced plasma cleaning device and method for producer gas
EP3259000A4 (en) Methods and apparatus for high gas flow
EP3098838A4 (en) Plasma treatment device and wafer transportation tray
EP3121845A4 (en) Purge apparatus and purge method
EP3108034A4 (en) Method for metalizing polymer substrate and polymer article prepared thereof
EP3237317A4 (en) Edge contact substrate transport method and apparatus
EP3137447A4 (en) Inhibitors of creatine transport and uses thereof
DK3114418T3 (en) METHOD AND SYSTEMS FOR TRANSPORT AND DENSIFICATION OF GAS
SG10201503808RA (en) Purge devices having micronozzles and operating methods thereof