TWI663284B - Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas - Google Patents

Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas Download PDF

Info

Publication number
TWI663284B
TWI663284B TW104118566A TW104118566A TWI663284B TW I663284 B TWI663284 B TW I663284B TW 104118566 A TW104118566 A TW 104118566A TW 104118566 A TW104118566 A TW 104118566A TW I663284 B TWI663284 B TW I663284B
Authority
TW
Taiwan
Prior art keywords
substrate
bias voltage
deposition
plasma
substrate processing
Prior art date
Application number
TW104118566A
Other languages
Chinese (zh)
Other versions
TW201614097A (en
Inventor
愛德華 奧古斯丁尼亞克
克利斯多福 詹姆士 拉姆賽爾
阿希爾N 欣荷
卡里姆 博麥特
阿魯爾 達斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/300,854 external-priority patent/US10081869B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201614097A publication Critical patent/TW201614097A/en
Application granted granted Critical
Publication of TWI663284B publication Critical patent/TWI663284B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種基板處理系統,包含配置在處理室內的上電極和下電極。氣體遞送系統選擇性地遞送前驅物、一或多種沉積載體氣體及後沉積沖洗氣體的其中至少一者。在該前驅物及該一或多種沉積載體氣體係由氣體遞送系統遞送的同時,RF產生系統藉由供應RF電壓至上電極和下電極的其中一者在處理室內的上電極和下電極之間產生RF電漿而沉積膜在基板上。在後沉積沖洗氣體係由氣體遞送系統加以遞送的同時,偏壓產生電路選擇性地供應DC偏電壓至上電極和下電極之其中一者。由氣體遞送系統遞送的後沉積沖洗氣體包含分子反應氣體。A substrate processing system includes an upper electrode and a lower electrode arranged in a processing chamber. The gas delivery system selectively delivers at least one of a precursor, one or more deposition carrier gases, and a post-deposition flushing gas. While the precursor and the one or more deposition carrier gas systems are delivered by a gas delivery system, the RF generation system generates between the upper and lower electrodes in the processing chamber by supplying RF voltage to one of the upper and lower electrodes. RF plasma is used to deposit a film on the substrate. While the post-deposition flushing gas system is delivered by the gas delivery system, the bias generating circuit selectively supplies a DC bias voltage to one of the upper electrode and the lower electrode. The post-deposition flushing gas delivered by the gas delivery system contains a molecular reactive gas.

Description

使用分子反應性沖洗氣體以改善基於射頻電漿之基板處理系統中的直流偏壓之缺陷控制及安定性Use of molecular reactive flushing gas to improve defect control and stability of DC bias in RF plasma-based substrate processing systems

本揭露內容係於西元2014年6月10日申請之美國專利申請案第14/300,854號的部分連續案。上述提及之申請案的全部揭露內容於此藉由參照納入本案揭示內容。The content of this disclosure is part of the serial application of US Patent Application No. 14 / 300,854 filed on June 10, 2014. The entire disclosure of the aforementioned application is incorporated herein by reference.

本揭露內容關於基板處理系統,及更具體而言,關於使用RF電漿及反應性後沉積氣體之基板處理系統內的缺陷控制。This disclosure relates to a substrate processing system, and more specifically, to defect control in a substrate processing system using an RF plasma and a reactive post-deposition gas.

在此提供的背景介紹係為了一般地呈現本揭露內容之背景的目的。目前列名發明者的工作成果,在此背景章節中所述之範圍,以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,係未明示或暗示承認為對於本揭露內容之先前技術。The background description provided herein is for the purpose of generally presenting the background of the disclosure. The work results of the inventors currently listed, the scope described in this background section, and the implementation aspects that may not otherwise qualify as a description of prior art at the time of application, are not explicitly or implicitly acknowledged to be the subject of this disclosure Previous technology.

用於執行沉積及/或蝕刻的基板處理系統通常包含具有基座的處理室。基板(諸如半導體晶圓)可配置在該基座上。例如:在化學氣相沉積(CVD, chemical vapor deposition)或原子層沉積(ALD, atomic layer deposition )的製程中,包含一或多個前驅物的氣體混合物可引入至處理室以沉積膜在基板上或蝕刻基板。A substrate processing system for performing deposition and / or etching typically includes a processing chamber having a pedestal. A substrate (such as a semiconductor wafer) may be disposed on the base. For example, in a chemical vapor deposition (CVD) or atomic layer deposition (ALD) process, a gas mixture containing one or more precursors may be introduced into a processing chamber to deposit a film on a substrate Or etch the substrate.

在一些基板處理系統中,射頻(RF, radio frequency)電漿可用以活化化學反應。使用電漿的CVD和ALD系統係稱作電漿加強CVD(PECVD, plasma-enhanced CVD)和電漿加強ALD(PEALD, plasma-enhanced ALD)。一些發生在氣相的化學反應導致在RF電漿的反應體積內之粒子的成核、聚集、及/或聚結。粒子當RF電漿係開啟時,保持懸浮在RF電漿中。由於作用在粒子之上之力的平衡,粒子不落在基板之上。例如:靜電斥力使粒子懸浮在RF電漿中的電漿邊界或電漿鞘。In some substrate processing systems, radio frequency (RF) plasma can be used to activate chemical reactions. CVD and ALD systems using plasma are called plasma enhanced CVD (PECVD, plasma-enhanced CVD) and plasma enhanced ALD (PEALD, plasma-enhanced ALD). Some chemical reactions that occur in the gas phase cause nucleation, aggregation, and / or coalescence of particles within the reaction volume of the RF plasma. When the RF plasma system is turned on, the particles remain suspended in the RF plasma. Due to the balance of the forces acting on the particles, the particles do not fall on the substrate. For example: electrostatic repulsion forces the plasma boundary or plasma sheath of particles suspended in an RF plasma.

在RF激發係關閉後,粒子可能落在基板之上。因此,大部分的基板處理系統藉由泵出殘留氣體一預定的週期抽空處理室。於該預定的週期期間,粒子在處理室內沉澱下來或係由幫浦抽空。After the RF excitation system is turned off, particles may fall on the substrate. Therefore, most substrate processing systems evacuate the processing chamber by pumping out residual gas for a predetermined period. During this predetermined period, particles settle down in the processing chamber or are evacuated by the pump.

基板處理系統包含配置在處理室內的上電極和下電極。氣體遞送系統係配置以選擇性地遞送前驅物、一或多種沉積載體氣體及後沉積沖洗氣體的其中至少一者。RF產生系統係配置成在該前驅物及該一或多種沉積載體氣體係由氣體遞送系統遞送的同時,藉由供應RF電壓至上電極和下電極的其中一者在處理室內的該上電極和該下電極之間產生RF電漿而沉積膜在該基板上。偏壓產生電路係配置成在後沉積沖洗氣體係由氣體遞送系統加以遞送的同時,選擇性地供應DC偏電壓至該上電極和該下電極的其中一者。由氣體遞送系統遞送的後沉積沖洗氣體包含分子反應氣體。The substrate processing system includes an upper electrode and a lower electrode arranged in a processing chamber. The gas delivery system is configured to selectively deliver at least one of a precursor, one or more deposition carrier gases, and a post-deposition flushing gas. The RF generation system is configured to supply the RF voltage to one of the upper electrode and the lower electrode while the precursor and the one or more deposition carrier gas systems are delivered by the gas delivery system in the processing chamber. An RF plasma is generated between the lower electrodes and a film is deposited on the substrate. The bias generating circuit is configured to selectively supply a DC bias voltage to one of the upper electrode and the lower electrode while the post-deposition flushing gas system is delivered by the gas delivery system. The post-deposition flushing gas delivered by the gas delivery system contains a molecular reactive gas.

在其他的特徵中,後沉積沖洗氣體不包含惰性氣體。後沉積沖洗氣體係選自沉積載體氣體的其中一者。後沉積沖洗氣體在從0.2 Torr到6 Torr的處理壓力下比氦氣和氬氣具有較高的崩潰電壓(breakdown voltage)。DC偏電壓的起始係被啟動於熄滅RF電漿之前的第一預定週期和熄滅RF電漿之後的第二預定週期的其中一者。In other features, the post-deposition flushing gas does not include an inert gas. The post-deposition flushing gas system is selected from one of the deposition carrier gases. The post-deposition flushing gas has a higher breakdown voltage than helium and argon at processing pressures from 0.2 Torr to 6 Torr. The starting of the DC bias voltage is started in one of a first predetermined period before the RF plasma is extinguished and a second predetermined period after the RF plasma is extinguished.

在其他的特徵中,基板移動系統係配置以當DC偏電壓產生時相對於基座移動基板。基板移動系統包含機器人,其配置以相對於基座移動基板。In other features, the substrate moving system is configured to move the substrate relative to the base when a DC bias voltage is generated. The substrate moving system includes a robot configured to move the substrate relative to the base.

基板處理工具包含N個反應器,其各自包含複數個基板處理系統,其中N係大於0的整數。基板移動系統包含分度機構,其配置以當DC偏電壓產生時,在N個反應器的其中至少一者的複數個基板處理系統之間分度基板。偏壓產生電路在RF電漿熄滅之前產生DC偏電壓,且在後續的RF電漿點燃之前停止DC偏電壓。除了當RF電漿點燃時的一段時間期間之外,偏壓產生電路連續地產生DC偏電壓。The substrate processing tool includes N reactors, each of which includes a plurality of substrate processing systems, where N is an integer greater than 0. The substrate moving system includes an indexing mechanism configured to index substrates between a plurality of substrate processing systems of at least one of the N reactors when a DC bias voltage is generated. The bias generating circuit generates a DC bias voltage before the RF plasma goes out, and stops the DC bias voltage before the subsequent RF plasma is ignited. Except for a period of time when the RF plasma is ignited, the bias generating circuit continuously generates a DC bias voltage.

在其他的特徵中,RF產生系統包含RF產生器、及匹配和分配網路,前者產生RF電壓,後者連通RF產生器及該上電極和該下電極的該其中一者。膜包含無氮抗反射膜,沉積載體氣體包含二氧化碳及氦氣,且後沉積氣體包含二氧化碳。膜包含非晶形矽,一或多種沉積載體氣體包含氫分子及氦氣,且後沉積沖洗氣體包含氫分子。膜包含可灰化硬遮罩,一或多種沉積載體氣體包含氫分子及氦氣,且後沉積沖洗氣體包含氫分子。膜包含氮化矽,一或多種沉積載體氣體包含氮分子及氨,且後沉積沖洗氣體包含氮分子。膜包含二氧化矽,一或多種沉積載體氣體包含氮分子及一氧化二氮,且後沉積沖洗氣體包含氮分子。膜包含碳氧化矽,一或多種沉積載體氣體包含二氧化碳及氦氣,且後沉積沖洗氣體包含二氧化碳。In other features, the RF generation system includes an RF generator that generates RF voltage and a matching and distribution network that connects the RF generator and one of the upper electrode and the lower electrode. The film includes a nitrogen-free antireflection film, the deposition carrier gas includes carbon dioxide and helium, and the post-deposition gas includes carbon dioxide. The film contains amorphous silicon, one or more deposition carrier gases include hydrogen molecules and helium, and a post-deposition flushing gas contains hydrogen molecules. The film includes an ashable hard mask, one or more deposition carrier gases include hydrogen molecules and helium, and a post-deposition flushing gas includes hydrogen molecules. The film includes silicon nitride, one or more deposition carrier gases include nitrogen molecules and ammonia, and a post-deposition flushing gas includes nitrogen molecules. The film includes silicon dioxide, one or more deposition carrier gases include nitrogen molecules and nitrous oxide, and a post-deposition flushing gas includes nitrogen molecules. The film includes silicon oxycarbide, one or more deposition carrier gases include carbon dioxide and helium, and the post-deposition flushing gas includes carbon dioxide.

一種在處理系統內處理基板的方法,其包含選擇性地遞送前驅物、一或多種沉積載體氣體及後沉積沖洗氣體的其中至少一者至處理室;藉由在該前驅物和該一或多種沉積載體氣體係加以遞送的同時,當供應RF電壓至該上電極和該下電極的其中一者時在處理室內的上電極和下電極之間產生RF電漿,沉積膜在基板上;及配置偏壓產生電路以選擇性地供應DC偏電壓至該上電極和該下電極的其中一者。該後沉積沖洗氣體於該DC偏電壓的至少一部分期間係加以遞送。後沉積沖洗氣體包含分子反應氣體。A method of processing a substrate in a processing system, which includes selectively delivering at least one of a precursor, one or more deposition carrier gases, and a post-deposition flushing gas to a processing chamber; When the deposition carrier gas system is delivered, when an RF voltage is supplied to one of the upper electrode and the lower electrode, an RF plasma is generated between the upper electrode and the lower electrode in the processing chamber, and the deposited film is on the substrate; A bias generating circuit to selectively supply a DC bias voltage to one of the upper electrode and the lower electrode. The post-deposition flushing gas is delivered during at least a portion of the DC bias voltage. The post-deposition flushing gas contains a molecular reaction gas.

在其他的特徵中,後沉積沖洗氣體不包含惰性氣體。後沉積沖洗氣體係選自該一或多種沉積載體氣體的其中一者。後沉積沖洗氣體在從0.2 Torr到6 Torr的處理壓力下比氦氣和氬氣具有較高的崩潰電壓。DC偏電壓的起始係被啟動於熄滅RF電漿之前的一第一預定週期和熄滅該RF電漿之後的一第二預定週期的其中一者。基板移動系統係配置以當DC偏電壓產生時,相對於基座移動基板。In other features, the post-deposition flushing gas does not include an inert gas. The post-deposition flushing gas system is selected from one of the one or more deposition carrier gases. Post-deposition flushing gases have higher breakdown voltages than helium and argon at process pressures from 0.2 Torr to 6 Torr. The starting of the DC bias voltage is one of a first predetermined period before the RF plasma is extinguished and a second predetermined period after the RF plasma is extinguished. The substrate moving system is configured to move the substrate relative to the base when a DC bias voltage is generated.

在其他的特徵中,該方法包含當產生DC偏電壓時分度基板。該方法包含在熄滅RF電漿之前產生DC偏電壓,且在點燃後續的RF電漿之前停止DC偏電壓。該方法包含除了當點燃RF電漿時的一段時間期間之外,連續地產生DC偏電壓。In other features, the method includes indexing the substrate when a DC bias voltage is generated. The method includes generating a DC bias voltage before extinguishing the RF plasma, and stopping the DC bias voltage before igniting a subsequent RF plasma. The method includes continuously generating a DC bias voltage except for a period of time when the RF plasma is ignited.

在其他的特徵中,膜包含無氮抗反射膜,一或多種沉積載體氣體包含二氧化碳及氦氣,且後沉積氣體包含二氧化碳。膜包含非晶形矽,一或多種沉積載體氣體包含氫分子及氦氣,且後沉積沖洗氣體包含氫分子。膜包含可灰化硬遮罩,一或多種沉積載體氣體包含氫分子及氦氣,且後沉積沖洗氣體包含氫分子。膜包含氮化矽,一或多種沉積載體氣體包含氮分子及氨,且後沉積沖洗氣體包含氮分子。膜包含二氧化矽,一或多種沉積載體氣體包含氮分子及一氧化二氮,且後沉積沖洗氣體包含氮分子。膜包含碳氧化矽,一或多種沉積載體氣體包含二氧化碳及氦氣,且後沉積沖洗氣體包含二氧化碳。In other features, the film includes a nitrogen-free antireflection film, one or more of the deposition carrier gases include carbon dioxide and helium, and the post-deposition gas includes carbon dioxide. The film contains amorphous silicon, one or more deposition carrier gases include hydrogen molecules and helium, and a post-deposition flushing gas contains hydrogen molecules. The film includes an ashable hard mask, one or more deposition carrier gases include hydrogen molecules and helium, and a post-deposition flushing gas includes hydrogen molecules. The film includes silicon nitride, one or more deposition carrier gases include nitrogen molecules and ammonia, and a post-deposition flushing gas includes nitrogen molecules. The film includes silicon dioxide, one or more deposition carrier gases include nitrogen molecules and nitrous oxide, and a post-deposition flushing gas includes nitrogen molecules. The film includes silicon oxycarbide, one or more deposition carrier gases include carbon dioxide and helium, and the post-deposition flushing gas includes carbon dioxide.

本揭露內容之進一步的可應用領域將從詳細說明、申請專利範圍和圖式中變得明顯。詳細說明和具體的例子係意圖為僅供說明的目的,而並非意欲限制本揭露內容的範圍。Further areas of applicability of this disclosure will become apparent from the detailed description, the scope of patent applications, and the drawings. The detailed description and specific examples are intended for illustrative purposes only and are not intended to limit the scope of the disclosure.

RF電漿基板處理系統可相關於RF電漿之時序施加DC偏電壓至上電極或下電極的其中一者。在一些例子中,DC偏電壓係施加於熄滅RF電漿之前,且係維持直到熄滅RF電漿之後。在一些例子中,DC偏電壓係施加於熄滅RF電漿之後。DC偏電壓於處理室的抽空期間改變帶電粒子的軌跡,且降低於熄滅期間由懸浮在RF電漿中的粒子造成的基板上缺陷數。當DC偏電壓係施加於上電極或下電極時,可依處理系統所需移動或分度基板。The RF plasma substrate processing system may apply a DC bias voltage to one of the upper electrode or the lower electrode in accordance with the timing of the RF plasma. In some examples, the DC bias voltage is applied before the RF plasma is extinguished, and is maintained until after the RF plasma is extinguished. In some examples, the DC bias voltage is applied after the RF plasma is extinguished. The DC bias voltage changes the trajectory of the charged particles during the evacuation of the processing chamber, and reduces the number of defects on the substrate caused by particles suspended in the RF plasma during the extinguishing period. When the DC bias voltage is applied to the upper electrode or the lower electrode, the substrate can be moved or indexed according to the needs of the processing system.

DC偏電壓產生靜電場,該靜電場於基板在工具內部移動之時使粒子遠離基板。惰性稀有氣體(諸如氦氣和氬氣)係通常在PEALD和PECVD的製程中作為後沉積沖洗氣體。然而,使用氦氣和氬氣為後沉積沖洗氣體的製程對DC偏電壓係敏感的,其係由於在處理室內的典型製程條件(諸如壓力、氣體流和電壓)下發光放電的形成。因此,用以降低粒子污染的DC偏電壓對這些後沉積沖洗氣體係不穩定的,且出現提高的缺陷性能。根據本揭露內容的基板處理系統使用替代的後沉積沖洗氣體,其提供穩定的DC偏電壓而不具任何DC輔助的電漿放電,且其降低用於執行PECVD/PEALD沉積之基板處理系統的缺陷。The DC bias voltage generates an electrostatic field that moves particles away from the substrate when the substrate moves inside the tool. Inert noble gases such as helium and argon are commonly used as post-deposition flushing gases in PEALD and PECVD processes. However, processes using helium and argon as post-deposition flushing gases are sensitive to DC bias voltage systems due to the formation of luminous discharges under typical process conditions (such as pressure, gas flow, and voltage) within the processing chamber. Therefore, the DC bias voltage used to reduce particle contamination is unstable to these post-deposition flushing gas systems, and improved defect performance occurs. The substrate processing system according to the present disclosure uses an alternative post-deposition flushing gas, which provides a stable DC bias voltage without any DC-assisted plasma discharge, and it reduces the defects of the substrate processing system for performing PECVD / PEALD deposition.

現參照圖1A,顯示用於使用RF電漿執行沉積或蝕刻之基板處理系統100的一個例子。例如:基板處理系統可用以執行PEALD和PECVD。基板處理系統100包含處理室102,其包圍基板處理系統100的其他元件且容納RF電漿。基板處理系統100包含上電極104及含括下電極107的基座106。基板108係配置在上電極104和下電極107之間於基座106之上。Referring now to FIG. 1A, an example of a substrate processing system 100 for performing deposition or etching using an RF plasma is shown. For example, substrate processing systems can be used to perform PEALD and PECVD. The substrate processing system 100 includes a processing chamber 102 that surrounds other components of the substrate processing system 100 and houses an RF plasma. The substrate processing system 100 includes an upper electrode 104 and a base 106 including a lower electrode 107. The substrate 108 is disposed above the base 106 between the upper electrode 104 and the lower electrode 107.

僅作為例子,上電極104可包含引入和分配處理氣體的噴淋頭109。噴淋頭109可包含一桿部,其包含連接至處理室頂部表面的一端。底部部分通常係圓柱形的,且放射狀地從桿部的另一端在離處理室之頂部表面一定間隔的地方向外延伸。噴淋頭底部部分之面對基板的表面包含複數個孔洞。或者,上電極104可包含傳導板且處理氣體可以另一種方式引入。下電極107可在非傳導的基座內配置。或者,基座106可包含靜電夾頭,其包含作為下電極107的傳導板。For example only, the upper electrode 104 may include a shower head 109 that introduces and distributes a process gas. The shower head 109 may include a rod portion including one end connected to the top surface of the processing chamber. The bottom portion is generally cylindrical and extends radially outward from the other end of the rod at a certain distance from the top surface of the processing chamber. The surface of the bottom portion of the shower head facing the substrate includes a plurality of holes. Alternatively, the upper electrode 104 may include a conductive plate and the processing gas may be introduced in another manner. The lower electrode 107 may be disposed in a non-conductive base. Alternatively, the base 106 may include an electrostatic chuck including a conductive plate as the lower electrode 107.

RF產生系統110產生和輸出RF電壓至上電極104和下電極107的其中一者。上電極104和下電極107的其中另一者可為DC接地、AC接地或浮接。僅作為例子,RF產生系統110可包含產生RF電壓的RF電壓產生器111,該RF電壓係由匹配和分配網路112饋入至上電極104或下電極107。The RF generating system 110 generates and outputs an RF voltage to one of the upper electrode 104 and the lower electrode 107. The other of the upper electrode 104 and the lower electrode 107 may be DC ground, AC ground, or floating. For example only, the RF generation system 110 may include an RF voltage generator 111 that generates an RF voltage that is fed to the upper electrode 104 or the lower electrode 107 by a matching and distribution network 112.

如將在下面進一步描述,偏壓產生電路113產生DC偏電壓以回應RF電壓的開啟/關閉時序及下述其他時序參數。在一些例子中,偏壓產生電路113可進一步包含提供DC電壓訊號的DC電壓供應器114。偏壓產生電路113可進一步包含同步電路115,其接通/斷開DC電壓訊號以回應RF開啟/關閉訊號。同步電路115基於RF開啟/關閉訊號的時序決定DC偏電壓的時序。在一些例子中,同步電路115施加延遲至RF開啟/關閉訊號的轉變以決定DC偏電壓的起始點。DC偏電壓的持續時間亦可被設定。在一些例子中,DC偏電壓係施加至接收RF電壓的電極以產生RF電漿。As will be described further below, the bias generating circuit 113 generates a DC bias voltage in response to the on / off timing of the RF voltage and other timing parameters described below. In some examples, the bias generating circuit 113 may further include a DC voltage supply 114 that provides a DC voltage signal. The bias generating circuit 113 may further include a synchronization circuit 115 that turns on / off the DC voltage signal in response to the RF on / off signal. The synchronization circuit 115 determines the timing of the DC bias voltage based on the timing of the RF on / off signal. In some examples, the synchronization circuit 115 applies a delay to the transition of the RF on / off signal to determine the starting point of the DC bias voltage. The duration of the DC bias voltage can also be set. In some examples, a DC bias voltage is applied to an electrode receiving an RF voltage to generate an RF plasma.

氣體遞送系統130的一個例子係顯示於圖1A。氣體遞送系統130包含一或多個氣體源132-1、132-2、…、及132-N(統稱為氣體源132),其中N係大於0的整數。氣體源供應一或多種前驅物及其混合物。氣體源亦可供應沖洗氣體。汽化的前驅物亦可使用。氣體源132係藉由閥134-1、134-2、…、及134-N(統稱為閥134)及質流控制器136-1、136-2、…、及136-N(統稱為質流控制器136)連接至歧管140。歧管140的輸出係饋入至處理室102。僅作為例子,歧管140的輸出係饋入至噴淋頭109。An example of a gas delivery system 130 is shown in FIG. 1A. The gas delivery system 130 includes one or more gas sources 132-1, 132-2, ..., and 132-N (collectively referred to as the gas source 132), where N is an integer greater than 0. The gas source supplies one or more precursors and mixtures thereof. The gas source can also supply flushing gas. Vaporized precursors can also be used. The gas source 132 is controlled by valves 134-1, 134-2, ..., and 134-N (collectively referred to as valve 134) and mass flow controllers 136-1, 136-2, ..., and 136-N (collectively referred to as mass The flow controller 136) is connected to the manifold 140. The output of the manifold 140 is fed into the processing chamber 102. For example only, the output of the manifold 140 is fed to the showerhead 109.

加熱器142可連接至配置在基座106內的加熱器線圈(未顯示)。加熱器142可用以控制基座106和基板108的溫度。閥150和幫浦152可用以從處理室102抽空反應物。The heater 142 may be connected to a heater coil (not shown) disposed in the base 106. The heater 142 may be used to control the temperature of the susceptor 106 and the substrate 108. Valves 150 and pumps 152 may be used to evacuate the reactants from the processing chamber 102.

控制器160可用以控制基板處理系統100的元件。控制器160發送RF電漿開啟/關閉訊號至RF產生系統110及同步電路115。控制器160亦可設定DC偏電壓的時序參數,諸如相對於RF電漿開啟/關閉訊號之初始或結束的開啟時間或延遲時間。The controller 160 may be used to control elements of the substrate processing system 100. The controller 160 sends an RF plasma on / off signal to the RF generation system 110 and the synchronization circuit 115. The controller 160 can also set the timing parameters of the DC bias voltage, such as the initial or final on time or delay time relative to the RF plasma on / off signal.

僅作為例子,DC偏電壓可為具有100至600伏特之數值及正或負極性的DC電壓。DC偏電壓建立靜電場,該靜電場於RF電漿係關閉時改變懸浮在電漿中之帶電粒子的軌跡。該等粒子在關閉RF電漿之後,因浸在RF電漿中係仍帶電。同時,處理室可加以抽空。DC偏壓影響的帶電粒子之軌跡可在帶電粒子至幫浦口的路徑上繞過基板,而有效地保謢基板免於污染。For example only, the DC bias voltage may be a DC voltage having a value of 100 to 600 volts and a positive or negative polarity. The DC bias voltage creates an electrostatic field that changes the trajectory of the charged particles suspended in the plasma when the RF plasma system is turned off. After turning off the RF plasma, these particles are still charged because they are immersed in the RF plasma. At the same time, the processing chamber can be evacuated. The trajectory of the charged particles affected by the DC bias can bypass the substrate on the path from the charged particles to the pump port, effectively protecting the substrate from contamination.

現參照圖1B,顯示偏壓產生電路113的一個例子。偏壓產生電路113包含延遲電路164以儲存一或多個延遲時間,該延遲時間基於RF電漿開啟/關閉訊號的轉變。偏壓產生電路113包含開啟時間(time on)電路166以儲存一或多個DC偏電壓的一或多個持續時間。延遲電路164、開啟時間電路166及RF開啟/關閉訊號的輸出係輸入至開關驅動器168,其產生開關驅動訊號以當需要時將開關170打開及關閉以提供DC偏電壓。在一些例子中,同步電路115的輸出係藉由選用的低通濾波器(LPF, low pass filter)180隔絕RF電壓。Referring now to FIG. 1B, an example of the bias generating circuit 113 is shown. The bias generating circuit 113 includes a delay circuit 164 to store one or more delay times based on the transition of the RF plasma on / off signal. The bias generating circuit 113 includes a time on circuit 166 to store one or more durations of one or more DC bias voltages. The output of the delay circuit 164, the on-time circuit 166, and the RF on / off signal are input to the switch driver 168, which generates a switch driving signal to turn the switch 170 on and off when needed to provide a DC bias voltage. In some examples, the output of the synchronization circuit 115 is isolated from the RF voltage by an optional low-pass filter (LPF) 180.

僅作為例子,開關驅動器168包含藉由轉變至RF開啟或RF關閉而致能的觸發電路。一旦被觸發,開關驅動器168等待由延遲電路164設定的一延遲週期。在該延遲週期之後,開關驅動器168藉由閉合開關170一段開啟時間週期將DC偏電壓開啟,該開啟時間週期係由開啟時間電路166加以設定。在該開啟時間週期之後,開關驅動器168斷開開關170以關閉DC偏電壓。正如可理解,DC偏電壓可以任何其他適合的方式觸發。For example only, the switch driver 168 includes a trigger circuit that is enabled by transitioning to RF on or RF off. Once triggered, the switch driver 168 waits for a delay period set by the delay circuit 164. After the delay period, the switch driver 168 turns on the DC bias voltage by closing the switch 170 for an open time period, which is set by the open time circuit 166. After this on-time period, the switch driver 168 turns off the switch 170 to turn off the DC bias voltage. As can be appreciated, the DC bias voltage can be triggered in any other suitable manner.

現參照圖2-3,顯示各種DC偏電壓之時序的例子。在圖2中,DC偏電壓之時序的一個例子係相對於RF電漿訊號、基板分度或移動訊號、及氣體供應訊號而顯示。通常,一或多個氣體或蒸氣前驅物於RF電漿開啟時將加以供應。包含分子反應氣體(取代諸如氬氣或氦氣的原子惰性氣體)的沖洗氣體當RF電漿關閉及/或當DC偏壓開啟時可加以供應,如將在下面進一步描述。Referring to Figure 2-3, examples of timings of various DC bias voltages are shown. In FIG. 2, an example of the timing of the DC bias voltage is shown relative to the RF plasma signal, the substrate indexing or moving signal, and the gas supply signal. Typically, one or more gas or vapor precursors will be supplied when the RF plasma is turned on. A flushing gas containing a molecular reactive gas (instead of an atomic inert gas such as argon or helium) may be supplied when the RF plasma is turned off and / or when the DC bias is turned on, as will be described further below.

在一些例子中,DC偏電壓200於RF電漿訊號終止之前係加以啟動並持續直到該RF電漿訊號終止之後。DC偏電壓200的時序可基於從RF電壓開始之延遲時間t0 。DC偏電壓200的時序與RF電壓重疊週期t1 ,DC偏電壓200的時序具有持續時間t2 ,且於RF電壓停止之後持續週期(t2 -t1 )。In some examples, the DC bias voltage 200 is activated before the RF plasma signal is terminated and continues until after the RF plasma signal is terminated. The timing of the DC bias voltage 200 may be based on the delay time t 0 from the RF voltage. The timing of the DC bias voltage 200 overlaps the period t 1 with the RF voltage, and the timing of the DC bias voltage 200 has a duration t 2 and continues for a period (t 2 -t 1 ) after the RF voltage stops.

在一些例子中,DC偏電壓係加以供應,且同時分度基板或以其他方式移動。特別是,基板分度或移動訊號210可在DC偏電壓期間和在RF電壓停止之後(例如:在RF電壓停止之後的週期t5 )以重疊的方式產生。分度或移動可完成於DC偏電壓(諸如DC偏電壓200)的下降邊緣之前或之後。In some examples, a DC bias voltage is supplied and the substrate is indexed or otherwise moved at the same time. In particular, the substrate indexing or moving signal 210 may be generated in an overlapping manner during the DC bias voltage and after the RF voltage is stopped (for example, the period t 5 after the RF voltage is stopped). Indexing or movement can be done before or after the falling edge of a DC bias voltage, such as a DC bias voltage of 200.

此外,另一個DC偏電壓215可在後續的RF電漿點燃之前加以供應且在RF電漿點燃之後不久終止。圖2中的DC偏電壓215先於RF電壓一段時間t3 且具有持續時間t4In addition, another DC bias voltage 215 may be supplied before the subsequent RF plasma is ignited and terminated shortly after the RF plasma is ignited. The DC bias voltage 215 in FIG. 2 precedes the RF voltage for a time t 3 and has a duration t 4 .

在圖3中,DC偏電壓亦可供應於基板處理期間的其他時間。例如:圖3中的DC偏電壓216在除了當點燃RF電漿時的週期t6 之外可連續地供應。用於說明的目的,分度或移動訊號係被延遲週期t7 ,且與圖2中的分度或移動訊號相比具有較長的持續時間t8In FIG. 3, the DC bias voltage may also be supplied at other times during substrate processing. For example: The DC bias voltage 216 in FIG. 3 may be continuously supplied except for the period t 6 when the RF plasma is ignited. For illustrative purposes, the indexing or mobile signal is delayed by a period t 7 and has a longer duration t 8 than the indexing or mobile signal in FIG. 2.

在圖1A-3的例子中,DC偏電壓係供應至上電極104。在此例子中,DC偏電壓可為正DC電壓或負DC電壓。電壓極性係由實驗選擇且可依據處理系統的結構(設計和尺寸)及處理條件。正如可理解,DC偏電壓可供應至下電極107而非上電極。DC偏電壓可供應至同樣的電極作為RF電壓,或供應至不同的電極,只要該不同的電極係非接地。In the example of FIGS. 1A-3, a DC bias voltage is supplied to the upper electrode 104. In this example, the DC bias voltage may be a positive DC voltage or a negative DC voltage. The voltage polarity is selected experimentally and can be based on the structure (design and size) and processing conditions of the processing system. As can be appreciated, a DC bias voltage may be supplied to the lower electrode 107 instead of the upper electrode. The DC bias voltage can be supplied to the same electrode as an RF voltage, or to a different electrode as long as the different electrodes are not grounded.

現參照圖4A,基板處理系統100可實施於包含多重反應器的工具220內,該多重反應器各自有多個基板處理系統。基板從卡匣進入工具220,該卡匣經由晶圓傳送盒(pod)221(諸如前開式晶圓傳送盒(FOUP, front opening unified pod))裝載。機器人224包含一或多個手端(end effector)以處理基板。機器人224係通常處在大氣壓力下。機器人224從卡匣移動基板到裝載鎖定部230。例如:基板經由端口232進入裝載鎖定部230且係放置在裝載鎖定基座233上。連接大氣環境的端口232關閉且裝載鎖定部230係抽氣至用於轉移的適當壓力。接著,端口234打開且另一個機器人236(亦有一或多個手端)透過對應於選擇之反應器240-1、240-2、及240-3(統稱為反應器240)的端口237-1、237-2、237-3(統稱為端口237)的其中一者放置基板。Referring now to FIG. 4A, the substrate processing system 100 may be implemented in a tool 220 including multiple reactors, each of which has multiple substrate processing systems. The substrate enters the tool 220 from a cassette, which is loaded via a wafer transfer pod (221) such as a front opening unified pod (FOUP). The robot 224 includes one or more end effectors to process the substrate. The robot 224 is usually under atmospheric pressure. The robot 224 moves the substrate from the cassette to the load lock portion 230. For example, the substrate enters the load lock portion 230 via the port 232 and is placed on the load lock base 233. The port 232 connected to the atmospheric environment is closed and the load lock 230 is evacuated to an appropriate pressure for transfer. Then, port 234 is opened and another robot 236 (also has one or more hands) passes through port 237-1 corresponding to the selected reactors 240-1, 240-2, and 240-3 (collectively referred to as reactor 240). One of the substrates 237-2, 237-3 (collectively referred to as port 237).

基板分度機構242可用以相對於基板處理室進一步地放置基板。在一些例子中,分度機構242包含心軸244及轉移盤246。The substrate indexing mechanism 242 can be used to further place a substrate relative to the substrate processing chamber. In some examples, the indexing mechanism 242 includes a mandrel 244 and a transfer disk 246.

至少一些反應器240的工作站對應於基板處理系統100。反應器240的基板處理系統100係能執行半導體處理操作,諸如與其他工作站依序地或同時地進行材料沉積或蝕刻。至少一些(且經常所有的)工作站執行基於RF的半導體處理操作。基板係使用基板分度機構242從反應器240中的一個工作站移動至下一個工作站。反應器240的一或多個工作站可能能夠執行RF電漿沉積或蝕刻。於使用期間,基板係移動至反應器240受到處理且再返回至晶圓傳送盒221。正如可理解,降低每個基板的搬運時間增進生產力及處理量。The workstations of at least some of the reactors 240 correspond to the substrate processing system 100. The substrate processing system 100 of the reactor 240 is capable of performing semiconductor processing operations, such as material deposition or etching, sequentially or simultaneously with other workstations. At least some (and often all) workstations perform RF-based semiconductor processing operations. The substrate is moved from one workstation to the next workstation in the reactor 240 using a substrate indexing mechanism 242. One or more workstations of the reactor 240 may be capable of performing RF plasma deposition or etching. During use, the substrate system moves to the reactor 240 for processing and returns to the wafer transfer cassette 221. As can be appreciated, reducing the handling time of each substrate improves productivity and throughput.

現參照圖4B,工具控制器250可與一或多個控制器254通信,該一或多個控制器254係與反應器240的各個工作站相關聯。或者,工具控制器250和控制器254可加以結合。工具控制器250亦與機器人224和236及分度機構控制器262通信,以在各個反應器240中協調基板的移動和基板的分度。Referring now to FIG. 4B, the tool controller 250 may communicate with one or more controllers 254 that are associated with various workstations of the reactor 240. Alternatively, the tool controller 250 and the controller 254 may be combined. The tool controller 250 also communicates with the robots 224 and 236 and the indexing mechanism controller 262 to coordinate the movement of the substrate and the indexing of the substrate in the respective reactors 240.

現參照圖5,基板的移動亦可僅由機器人而非機器人和分度機構執行。基板係遞送至轉移腔274的一個端口。轉移腔274將其中壓力抽氣至一適當的水平。接著,另一個接至轉移腔274的端口打開,且有一或多個手端278的機器人276遞送基板至多個處理室280-1、280-2、…、及280-P(統稱為處理室280)之其中選擇的一者,其中P係大於1的整數。機器人276可沿著軌道279移動。機器人276遞送基板至對應處理室280其中選擇的一者的多個基座282-1、282-2、…、及282-P的其中一者之上。Referring now to FIG. 5, the movement of the substrate may also be performed only by the robot instead of the robot and the indexing mechanism. The substrate is delivered to one port of the transfer cavity 274. The transfer chamber 274 evacuates the pressure therein to an appropriate level. Then, another port connected to the transfer chamber 274 is opened, and one or more robots 276 of the hand end 278 deliver substrates to a plurality of processing chambers 280-1, 280-2, ..., and 280-P (collectively referred to as processing chamber 280 ), Where P is an integer greater than 1. The robot 276 can move along the track 279. The robot 276 delivers the substrate onto one of the plurality of bases 282-1, 282-2, ..., and 282-P corresponding to a selected one of the processing chambers 280.

現參照圖6A,顯示方法320的一個例子。在330中,控制程序決定處理是否開始。若為是,控制程序進行到332且配置一或多個基板在一或多個基座上,該等基座與一或多個處理室相關聯。在336中,控制程序在一或多個處理室內點燃RF電漿且流動前驅物一預定的週期。在338中,控制程序熄滅RF電漿且停止前驅物的流動。在342中,控制程序流動沖洗氣體,其包含分子反應氣體而非原子惰性氣體。在346中,控制程序於RF電漿熄滅之後供應DC偏電壓一預定的偏壓週期。在一些例子中,該預定的偏壓週期在下一個RF電漿點燃之前停止。6A, an example of a method 320 is shown. In 330, the control program decides whether or not the process starts. If so, the control routine proceeds to 332 and one or more substrates are configured on one or more pedestals that are associated with one or more processing chambers. In 336, the control program ignites the RF plasma and flows the precursor for a predetermined period in one or more processing chambers. In 338, the control program extinguishes the RF plasma and stops the precursor flow. At 342, a control program flows a flushing gas, which contains a molecular reactive gas instead of an atomic inert gas. In 346, the control program supplies the DC bias voltage for a predetermined bias period after the RF plasma goes out. In some examples, the predetermined bias period is stopped before the next RF plasma is ignited.

在350中,控制程序決定在分度或其他基板移動發生之前是否有額外的RF電漿循環。若為是,控制程序返回至336。否則,控制程序決定是否需要分度或其他的移動。若354為是,控制程序繼續至358且於偏壓週期期間分度或以其他方式移動基板,在359關閉DC偏壓且接著返回至336。否則,控制程序繼續至360且卸載基板。In 350, the control program determines whether there are additional RF plasma cycles before indexing or other substrate movements occur. If yes, control returns to 336. Otherwise, the control program decides whether indexing or other movement is required. If 354 is true, control continues to 358 and the substrate is indexed or otherwise moved during the bias cycle, the DC bias is turned off at 359 and then returned to 336. Otherwise, the control routine continues to 360 and the substrate is unloaded.

現參照圖6B,顯示方法420的一個例子。在430中,控制程序決定處理是否開始。若為是,控制程序進行到432且配置一或多個基板在一或多個基座上,該等基座與一或多個處理室相關聯。在436中,控制程序在一或多個處理室內點燃電漿且流動前驅物一第一預定的週期。在437中,控制程序供應DC偏電壓一預定的偏壓週期,該偏置壓期始於該第一預定的週期結束之前(且RF電漿係熄滅的)。在一些例子中,預定的偏壓週期在後續的RF電漿點燃之前停止。在438中,控制程序熄滅RF電漿且停止前驅物的流動。在442中,控制程序流動沖洗氣體,其包含分子反應氣體而非原子惰性氣體。在450中,控制程序決定在分度或其他基板移動發生之前是否有額外的RF電漿循環。若為是,控制程序返回至436。否則,控制程序決定是否需要分度或其他的移動。若454為是,控制程序繼續至458且分度或以其他方式移動基板,在459關閉DC偏壓且接著返回至436。否則,控制程序繼續至460且卸載基板。6B, an example of a method 420 is shown. In 430, the control program decides whether or not the process starts. If so, the control routine proceeds to 432 and one or more substrates are configured on one or more pedestals that are associated with one or more processing chambers. In 436, the control program ignites the plasma and flows the precursor for a first predetermined period in one or more processing chambers. In 437, the control program supplies the DC bias voltage for a predetermined bias period, and the bias voltage period begins before the end of the first predetermined period (and the RF plasma system is turned off). In some examples, the predetermined bias period is stopped before the subsequent RF plasma is ignited. At 438, the control program extinguishes the RF plasma and stops the precursor flow. At 442, a control program flows a flushing gas that contains a molecular reactive gas instead of an atomic inert gas. In 450, the control program determines whether there are additional RF plasma cycles before indexing or other substrate movement occurs. If yes, control returns to 436. Otherwise, the control program decides whether indexing or other movement is required. If 454 is true, control continues to 458 and the substrate is indexed or otherwise moved, the DC bias is turned off at 459 and then returns to 436. Otherwise, the control routine continues to 460 and the substrate is unloaded.

現參照圖7,基板上缺陷的數目係藉由供應DC偏壓及使用沖洗氣體加以降低,該沖洗氣體包含分子反應氣體而非原子惰性氣體。於500顯示當DC偏電壓於基板移動的期間係不供應時處理的缺陷數目,且於520顯示當DC偏電壓於基板移動期間係加以供應時處理的缺陷數目。於基板移動的期間施加DC偏電壓消除非生產性的等候時間,其係通常需要用以在移動發生前泵出殘留氣體及沉澱氣態粒子。Referring now to FIG. 7, the number of defects on the substrate is reduced by supplying a DC bias voltage and using a flushing gas that contains a molecular reactive gas instead of an atomic inert gas. At 500, the number of defects processed when the DC bias voltage is not supplied during the substrate movement is displayed, and at 520, the number of defects processed when the DC bias voltage is supplied during the substrate movement is displayed. Applying a DC bias voltage during the movement of the substrate eliminates non-productive waiting times, which is usually required to pump out residual gas and precipitate gaseous particles before movement occurs.

本揭露內容藉由使用DC偏電壓噴射沖洗氣體進一步降低基板的缺陷,該沖洗氣體係與膜/膜沉積製程相容。惰性稀有氣體(諸如氦氣(He)及氬氣(Ar))係通常在PECVD/PEALD系統中用作腔室沖洗氣體。在無N2 膜(諸如無氮抗反射層(NFARL, nitrogen-free anti-reflection layer)、非晶形矽(a-Si)、及可灰化硬遮罩(AHM, ashable hard mask)膜)的情況中,DC偏電壓於惰性氣體(諸如He及Ar)係作為沖洗氣體時易於不穩定。當He及Ar係作為沖洗氣體時,DC輔助的電漿放電發生,這造成高缺陷數。This disclosure further reduces substrate defects by using a DC bias voltage to spray a flushing gas, which is compatible with the film / film deposition process. Inert noble gases such as helium (He) and argon (Ar) are commonly used as chamber flushing gases in PECVD / PEALD systems. In N 2 free films (such as nitrogen-free anti-reflection layer (NFARL), amorphous silicon (a-Si), and ashable hard mask (AHM) films) In this case, the DC bias voltage tends to be unstable when the inert gas (such as He and Ar) is used as the flushing gas. When He and Ar are used as flushing gases, DC-assisted plasma discharge occurs, which results in a high defect count.

現參照圖8,顯示DC偏電壓行為的一個例子,其中He作為NFARL膜沉積製程之後沉積沖洗氣體。一旦供應DC噴射(在此例子中,-350 V),DC偏電壓到達最大負電壓值且接著在數值上逐漸降低。不受特定理論限制,數值上的降低可為分壓(voltage division)的結果,該分壓形成於電極系統的電阻元件和電漿的電阻之間。直流損耗亦係由電極間DC供電之電漿輝光的出現加以支持。當以氬氣取代氦氣時,發生相似的結果。Referring now to FIG. 8, an example of DC bias voltage behavior is shown, in which He is used as a purge gas after the NFARL film deposition process. Once the DC injection is supplied (-350 V in this example), the DC bias voltage reaches the maximum negative voltage value and then gradually decreases in value. Without being limited by a particular theory, the reduction in value may be the result of a voltage division, which is formed between the resistance element of the electrode system and the resistance of the plasma. The DC loss is also supported by the appearance of a plasma glow from the DC power supply between the electrodes. Similar results occur when replacing helium with argon.

不受特定理論限制,對於DC偏電壓和稀有氣體(諸如He、Ar等)的電漿放電之可能的機制係這些惰性原子氣體具有低的崩潰電壓(break down volrage),其在典型製程條件下對於電漿輝光係有利的。電漿輝光係通常由DC激發所產生之惰性稀有氣體的長壽命、高能物種增強。上及下電極間不受控制之DC電漿的存在導致增加的缺陷。此外,噴淋頭的孔圖案(hole pattern)可在顯現於基板上的缺陷內被看見。Without being limited by a specific theory, the possible mechanism for the plasma bias voltage and plasma discharge of noble gases (such as He, Ar, etc.) is that these inert atomic gases have a low break down volrage, which under typical process conditions This is advantageous for plasma glow systems. Plasma glow is enhanced by long-lived, high-energy species of inert noble gases typically produced by DC excitation. The presence of uncontrolled DC plasmas between the upper and lower electrodes leads to increased defects. In addition, the hole pattern of the shower head can be seen within the defects appearing on the substrate.

為了降低在DC偏壓期間不受控制的DC電漿,惰性稀有原子沖洗氣體係以分子反應氣體取代。僅作為例子,一些NFARL製程使用氦氣及CO2 兩者為沉積氣體。在一些例子中,二氧化碳(CO2 )亦可取代氦氣作為後沉積沖洗氣體以增進DC偏電壓的安定性及降低缺陷。In order to reduce the uncontrolled DC plasma during the DC bias, the inert rare-atom flushing gas system was replaced with a molecular reactive gas. For example only, some NFARL processes use both helium and CO 2 as deposition gases. In some examples, carbon dioxide (CO 2 ) can also replace helium as a post-deposition flushing gas to improve the stability of the DC bias voltage and reduce defects.

現參照圖9、10A及10B,缺陷數的改進可透過適當後沉積沖洗氣體的選擇而得到,諸如分子反應氣體,其於施加DC偏電壓的同時使用以降低粒子數。例如:當使用CO2 取代使用於圖8NFARL製程中的He時,DC偏電壓如圖9所示係穩定的。在圖10A中,顯示使用He為後沉積沖洗氣體的NFARL膜。在圖10B中,當使用CO2 時,NFARL膜係顯示具有與圖10A相比顯著降低的缺陷數。Referring now to FIGS. 9, 10A, and 10B, the improvement in the number of defects can be obtained by selecting a suitable post-deposition flushing gas, such as a molecular reaction gas, which is used while applying a DC bias voltage to reduce the number of particles. For example, when CO 2 is used instead of He used in the NFARL process of FIG. 8, the DC bias voltage is stable as shown in FIG. 9. In FIG. 10A, an NFARL film using He as a post-deposition flushing gas is shown. In FIG. 10B, when CO 2 is used, the NFARL film system is shown to have a significantly reduced number of defects compared to FIG. 10A.

該測試/模擬係以He及Ar在後沖洗壓力(0.2至6T)及氣體流率(1至10slm)下重覆。在這些條件下,亦觀察到DC偏電壓的不穩定性及發光的電漿放電。然而,當使用CO2 為後沉積沖洗氣體時,DC偏電壓係穩定的,且經由DC偏電壓的電漿激發在PECVD的反應器中係未被觀察到。The test / simulation was repeated with He and Ar under backwash pressure (0.2 to 6T) and gas flow rate (1 to 10 slm). Under these conditions, instability of the DC bias voltage and luminescent plasma discharge were also observed. However, when CO 2 was used as the post-deposition flushing gas, the DC bias voltage was stable, and the plasma excitation via the DC bias voltage was not observed in the PECVD reactor.

現參照圖11-12,顯示DC偏壓產生系統的一個例子。在圖11中,顯示用於產生DC偏壓訊號之替代的電路配置600。工具控制器610發送控制訊號至DC供應器618以供應DC偏電壓。工具控制器610亦發送控制訊號至輸入/輸出控制器614,其控制同步電路622、RF產生器632及RF匹配電路636。同步電路622的輸出(DC偏壓訊號)係由RF濾波器628過濾,其與RF匹配電路636的輸出結合輸入至RF分配電路640。RF分配電路640提供輸出至電極642和644(諸如,例如嵌入於基座內的噴淋頭或電極)。在圖12中,同步電路622可包含極性控制器(polarity controller)650及開啟/關閉控制器655,前者控制DC偏壓訊號的極性,而後者在需要時基於來自輸入/輸出控制器614的控制訊號將DC偏壓開啟及關閉。11-12, an example of a DC bias generating system is shown. In FIG. 11, an alternative circuit configuration 600 for generating a DC bias signal is shown. The tool controller 610 sends a control signal to the DC power supply 618 to supply a DC bias voltage. The tool controller 610 also sends a control signal to the input / output controller 614, which controls the synchronization circuit 622, the RF generator 632, and the RF matching circuit 636. The output (DC bias signal) of the synchronization circuit 622 is filtered by the RF filter 628, which is input to the RF distribution circuit 640 in combination with the output of the RF matching circuit 636. The RF distribution circuit 640 provides outputs to electrodes 642 and 644, such as, for example, a showerhead or electrode embedded in a base. In FIG. 12, the synchronization circuit 622 may include a polarity controller 650 that controls the polarity of the DC bias signal and an on / off controller 655 that is based on the control from the input / output controller 614 when needed. The signal turns the DC bias on and off.

雖然以上所述相關於NFARL膜及各種後沉積沖洗氣體,其他膜類型亦從選擇分子反應氣體為後沉積沖洗氣體獲益。對於非晶形矽(a-Si),He及H2 係典型用作沉積載體氣體,且氫分子(H2 )可用作後沉積沖洗氣體。對於可灰化硬遮罩(AHM),He及H2 係用作沉積載體氣體且H2 係用作後沉積氣體。對於氮化矽(SiN),氨(NH3 )及氮分子(N2 )係用作沉積載體氣體且N2 係用作後沉積氣體。對於SiO2 ,N2 O及N2 係用作沉積載體氣體且N2 係用作後沉積氣體。對於碳氧化矽(SiOC),CO2 及He係用作沉積載體氣體且CO2 係用作後沉積氣體。Although the above is related to NFARL membranes and various post-deposition flushing gases, other membrane types also benefit from selecting molecular reaction gases as post-deposition flushing gases. For amorphous silicon (a-Si), He and H 2 are typically used as deposition carrier gases, and hydrogen molecules (H 2 ) are used as post-deposition flushing gases. For ashable hard masks (AHM), He and H 2 systems are used as deposition carrier gases and H 2 systems are used as post-deposition gases. For silicon nitride (SiN), ammonia (NH 3 ) and nitrogen molecules (N 2 ) are used as a deposition carrier gas and N 2 is used as a post-deposition gas. For SiO 2 , N 2 O and N 2 are used as a deposition carrier gas and N 2 is used as a post-deposition gas. For silicon oxycarbide (SiOC), CO 2 and He are used as the deposition carrier gas and CO 2 is used as the post-deposition gas.

以上所述在本質上僅為說明且係決非意欲限制本揭露內容、其應用、或使用。本揭露內容的廣泛教示可以多種方式執行。因此,雖然此揭露內容包含特殊的例子,但本揭露內容的真實範圍應不被如此限制,因為其他的修改將在研讀圖示、說明書和隨後申請專利範圍後變為顯而易見。當在此使用時,片語「A、B、和C的其中至少一者」應理解為表示使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為表示「A的其中至少一者、B的其中至少一者、及C的其中至少一者」。應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭露內容的原理。The foregoing is merely illustrative in nature and is by no means intended to limit the disclosure, its application, or uses. The broad teachings of this disclosure can be implemented in a variety of ways. Therefore, although this disclosure contains specific examples, the true scope of this disclosure should not be so limited, as other modifications will become apparent after studying the scope of the illustrations, descriptions, and subsequent patent applications. When used herein, the phrase "at least one of A, B, and C" should be understood to mean the use of non-exclusive logical "or" logic (A or B or C) and should not be interpreted as meaning "A At least one of B, at least one of B, and at least one of C. " It should be understood that one or more steps in the method may be performed in a different order (or simultaneously) without altering the principles of the present disclosure.

在一些實施方式中,控制器為系統的一部分,而系統可為上述例子的一部分。此等系統可包含半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱為「控制器」,其可控制該一個以上系統的各種元件或子部分。依據系統的處理需求及/或類型,控制器可被編程以控制任何在此揭露的製程,包括:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。In some embodiments, the controller is part of the system, and the system may be part of the example described above. Such systems may include semiconductor processing equipment including more than one processing tool, more than one chamber, more than one platform for processing, and / or specific processing elements (wafer pedestals, airflow systems, etc.). These systems can be integrated with electronic equipment used to control the operation of these systems before, during, and after semiconductor wafer or substrate processing. An electronic device may be referred to as a "controller", which may control various elements or sub-portions of the more than one system. Depending on the system's processing needs and / or type, the controller can be programmed to control any process disclosed herein, including: process gas delivery, temperature settings (eg, heating and / or cooling), pressure settings, vacuum settings, power Settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, access to a tool and other transfer tools, and / or loading or interfacing with specific systems Wafer transfer at the lock section.

廣義地說,控制器可定義為電子設備,其具有各種積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清洗操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或多個製程步驟。Broadly speaking, a controller can be defined as an electronic device that has various integrated circuits, logic, memory, and / or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurement, and so on. An integrated circuit may include a chip in the form of firmware that stores program instructions, a digital signal processor (DSP), a chip defined as a special application integrated circuit (ASIC), and / or one or more programs that execute program instructions (such as software) Microprocessor or microcontroller. Program instructions can be instructions that communicate with the controller in the form of various individual settings (or program files). These settings define operating parameters that perform special processes on the semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe defined by a process engineer to one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / or wafers One or more process steps are completed during the fabrication of the die.

在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或一部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠程存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。該遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型和配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目標(諸如在此描述的製程和控制)作業。一個用於此目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或多個積體電路,其結合以控制腔室中的製程。In some embodiments, the controller may be part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be the whole or a part of the host computer system in the "cloud" or the fab, which allows remote access to the wafer processing. The computer can allow remote access to the system to monitor the current progress of manufacturing operations, check the history of past manufacturing operations, check trends or performance metrics from multiple manufacturing operations, change the parameters currently being processed, and set the Process steps, or start a new process. In some examples, a remote computer (such as a server) may provide process recipes to the system via a network, which may include a local area network or the Internet. The remote computer may include a user interface that allows entry or programming of parameters and / or settings that are then passed from the remote computer to the system. In some examples, the controller receives instructions in the form of data that explicitly specifies parameters for various processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that configures the controller to interface or control. Thus, as described above, the controllers may be decentralized, such as by including one or more decentralized controllers that are connected together by a network and operate toward a common goal, such as the processes and controls described herein . An example of a decentralized controller for this purpose would be one or more integrated circuits on a chamber that communicate with one or more integrated circuits at a remote location, such as at the platform level or as part of a remote computer , Which combines to control the process in the chamber.

示例系統可不受限制地包含電漿蝕刻室或模組、沉積室或模組、旋轉-潤洗室或模組、金屬電鍍室或模組、潔靜室或模組、斜邊蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE, atomic layer etch)室或模組、離子植入室或模組、軌道室或模組、及任何其他可關聯或使用於半導體晶圓的製造及/或生產中的半導體處理系統。Example systems can include, without limitation, a plasma etching chamber or module, a deposition chamber or module, a spin-rinsing chamber or module, a metal plating chamber or module, a clean room or module, a beveled etching chamber or module Group, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etching (ALE) atomic layer etch chamber or mold Modules, ion implantation chambers or modules, orbital chambers or modules, and any other semiconductor processing system that can be associated with or used in the manufacture and / or production of semiconductor wafers.

如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗連工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端口。As described above, the controller may communicate with one or more other tool circuits or modules, other tool components, group tools, other tool interfaces, adjoining tools, adjacent, based on one or more process steps to be performed by the tool. A tool, a tool located throughout the factory, a host computer, another controller, or a tool for material transfer that carries a wafer container into and out of a tool location and / or load within a semiconductor manufacturing plant port.

100‧‧‧基板處理系統100‧‧‧ substrate processing system

102‧‧‧處理室 102‧‧‧Processing Room

104‧‧‧上電極 104‧‧‧up electrode

106‧‧‧基座 106‧‧‧ base

107‧‧‧下電極 107‧‧‧ Lower electrode

108‧‧‧基板 108‧‧‧ substrate

109‧‧‧噴淋頭 109‧‧‧Sprinkler

110‧‧‧RF產生系統 110‧‧‧RF generation system

111‧‧‧RF電壓產生器 111‧‧‧RF voltage generator

112‧‧‧匹配和分配網路 112‧‧‧ matching and distribution network

113‧‧‧偏壓產生電路 113‧‧‧ bias generating circuit

114‧‧‧DC電壓供應器 114‧‧‧DC voltage supply

115‧‧‧同步電路 115‧‧‧sync circuit

130‧‧‧氣體遞送系統 130‧‧‧Gas Delivery System

132‧‧‧氣體源 132‧‧‧Gas source

134‧‧‧閥 134‧‧‧valve

136‧‧‧質流控制器 136‧‧‧mass flow controller

140‧‧‧歧管 140‧‧‧ Manifold

142‧‧‧加熱器 142‧‧‧heater

150‧‧‧閥 150‧‧‧ valve

152‧‧‧幫浦 152‧‧‧Pu

160‧‧‧控制器 160‧‧‧controller

164‧‧‧延遲電路 164‧‧‧ Delay circuit

166‧‧‧開啟時間電路 166‧‧‧Open Time Circuit

168‧‧‧開關驅動器 168‧‧‧Switch driver

170‧‧‧開關 170‧‧‧Switch

180‧‧‧低通濾波器 180‧‧‧ Low-pass filter

200‧‧‧DC偏電壓 200‧‧‧DC bias voltage

210‧‧‧基板分度或移動訊號 210‧‧‧ Substrate indexing or moving signal

215‧‧‧DC偏電壓 215‧‧‧DC bias voltage

216‧‧‧DC偏電壓 216‧‧‧DC bias voltage

220‧‧‧工具 220‧‧‧Tools

221‧‧‧晶圓傳送盒 221‧‧‧Wafer Transfer Box

224‧‧‧機器人 224‧‧‧ Robot

230‧‧‧裝載鎖定部 230‧‧‧Load lock

232‧‧‧端口 232‧‧‧Port

233‧‧‧裝載鎖定基座 233‧‧‧Load lock base

234‧‧‧端口 234‧‧‧Port

236‧‧‧機器人 236‧‧‧ Robot

237‧‧‧端口 237‧‧‧Port

240‧‧‧反應器 240‧‧‧ reactor

242‧‧‧分度機構 242‧‧‧ indexing agencies

244‧‧‧心軸 244‧‧‧ mandrel

246‧‧‧轉移盤 246‧‧‧transfer disk

250‧‧‧工具控制器 250‧‧‧tool controller

254‧‧‧控制器 254‧‧‧controller

262‧‧‧分度機構控制器 262‧‧‧Indexing mechanism controller

274‧‧‧轉移腔 274‧‧‧transfer cavity

276‧‧‧機器人 276‧‧‧Robot

278‧‧‧手端 278‧‧‧hand

279‧‧‧軌道 279‧‧‧ track

280‧‧‧處理室 280‧‧‧treatment room

600‧‧‧電路配置 600‧‧‧Circuit Configuration

610‧‧‧工具控制器 610‧‧‧tool controller

614‧‧‧輸入/輸出控制器 614‧‧‧I / O Controller

618‧‧‧DC供應器 618‧‧‧DC Power Supply

622‧‧‧同步電路 622‧‧‧Sync Circuit

628‧‧‧RF濾波器 628‧‧‧RF Filter

632‧‧‧RF產生器 632‧‧‧RF generator

636‧‧‧RF匹配電路 636‧‧‧RF matching circuit

640‧‧‧RF分配電路 640‧‧‧RF distribution circuit

642‧‧‧電極 642‧‧‧electrode

644‧‧‧電極 644‧‧‧electrode

650‧‧‧極性控制器 650‧‧‧polarity controller

655‧‧‧開啟/關閉控制器 655‧‧‧Turn controller on / off

本揭露內容從詳細說明和隨附圖式可更完全了解,其中:This disclosure can be more fully understood from the detailed description and accompanying drawings, among which:

圖1A係根據本揭露內容之基板處理系統之例子的功能方塊圖;1A is a functional block diagram of an example of a substrate processing system according to the present disclosure;

圖1B係根據本揭露內容之DC偏壓產生系統之例子的功能方塊圖;1B is a functional block diagram of an example of a DC bias generating system according to the present disclosure;

圖2及3係說明相對於RF電漿訊號、基板分度或移動訊號及氣體供應訊號之DC偏電壓的時序的例子之時序圖;Figures 2 and 3 are timing diagrams illustrating examples of timing of DC bias voltages relative to RF plasma signals, substrate graduations or mobile signals and gas supply signals;

圖4A-4B及5說明基板處理工具的例子;4A-4B and 5 illustrate examples of substrate processing tools;

圖6A及6B說明根據本揭露內容之操作基板處理系統之方法的例子;6A and 6B illustrate examples of a method of operating a substrate processing system according to the present disclosure;

圖7說明有及無DC偏電壓處理之基板的缺陷數。FIG. 7 illustrates the number of defects on a substrate with and without a DC bias voltage treatment.

圖8係說明對於使用氦氣作為後沉積沖洗氣體沉積NFARL的基板處理系統,DC偏電壓為時間之函數的圖;8 is a graph illustrating a DC bias voltage as a function of time for a substrate processing system using helium as a post-deposition flushing gas to deposit NFARL;

圖9係說明對於使用二氧化碳作為後沉積沖洗氣體沉積NFARL的基板處理系統,DC偏電壓為時間之函數的圖;FIG. 9 is a graph illustrating a DC bias voltage as a function of time for a substrate processing system using carbon dioxide as a post-deposition flushing gas to deposit NFARL;

圖10A及10B說明分別根據圖8及圖9處理後的基板缺陷;10A and 10B illustrate substrate defects processed according to FIGS. 8 and 9 respectively;

圖11係對於產生DC偏壓訊號之替代的電路配置之功能方塊圖;以及11 is a functional block diagram of an alternative circuit configuration for generating a DC bias signal; and

圖12係替代之同步電路的功能方塊圖。Figure 12 is a functional block diagram of an alternative synchronization circuit.

在圖示中,參考數字可再次使用以識別相似的及/或相同的元件。In the figures, reference numbers may be reused to identify similar and / or identical elements.

Claims (52)

一種基板處理系統,包含:一處理室;一上電極,配置在該處理室內;一基座,配置在該處理室內,其中,該基座係配置以於處理期間支撐一基板,且其中,該基座包含一下電極;一RF產生系統,配置成藉由供應一RF電壓至該上電極和該下電極的其中一者,在該處理室內該上電極和該下電極之間產生RF電漿;一偏壓產生電路,配置以選擇性地供應一DC偏電壓至該上電極和該下電極的該其中一者,其係藉由:於熄滅該RF電漿之前的一第一預定週期和熄滅該RF電漿之後的一第二預定週期之其中至少一者時,開始該DC偏電壓之供應,及於熄滅該RF電漿之後且於一後續的RF電漿點燃之前的一第三預定週期時,停止該DC偏電壓之供應;以及一基板移動系統,配置以當產生該DC偏電壓時,產生一移動訊號以相對於該基座移動該基板。A substrate processing system includes: a processing chamber; an upper electrode disposed in the processing chamber; a pedestal disposed in the processing chamber, wherein the pedestal is configured to support a substrate during processing, and wherein, the The base includes a lower electrode; an RF generating system configured to generate an RF plasma between the upper electrode and the lower electrode in the processing chamber by supplying an RF voltage to one of the upper electrode and the lower electrode; A bias generating circuit configured to selectively supply a DC bias voltage to one of the upper electrode and the lower electrode by: a first predetermined period before the RF plasma is extinguished and extinguished At least one of a second predetermined period after the RF plasma starts the supply of the DC bias voltage, and a third predetermined period after the RF plasma is extinguished and before a subsequent RF plasma is ignited At the same time, stopping the supply of the DC bias voltage; and a substrate moving system configured to generate a moving signal to move the substrate relative to the base when the DC bias voltage is generated. 如申請專利範圍第1項之基板處理系統,其中,該偏壓產生電路包含:一DC電壓供應器;以及一同步電路,連通該DC電壓供應器及配置以產生該DC偏電壓。For example, the substrate processing system of claim 1 in the patent application scope, wherein the bias generating circuit includes: a DC voltage supply; and a synchronization circuit that communicates with the DC voltage supply and is configured to generate the DC bias voltage. 如申請專利範圍第2項之基板處理系統,其中,該偏壓產生電路更包含一低通濾波器,其配置以過濾該同步電路的一輸出且具有連通該上電極和該下電極之其中一者的一輸出。For example, the substrate processing system of claim 2 in the patent application, wherein the bias generating circuit further includes a low-pass filter configured to filter an output of the synchronization circuit and has one of the upper electrode and the lower electrode connected. One of the outputs. 如申請專利範圍第1項之基板處理系統,其中,該基板移動系統包含一機器人,建構以相對於該基座移動該基板。For example, the substrate processing system of the first patent application scope, wherein the substrate moving system includes a robot configured to move the substrate relative to the base. 一種基板處理工具,包含:N個反應器,各自包含申請專利範圍第1項之該基板處理系統,其中,N係大於0的一整數,其中,該基板處理系統之該基板移動系統包含一分度機構,配置以當該DC偏電壓產生時,在該N個反應器的其中至少一者中的複數個工作站之間分度基板。A substrate processing tool includes: N reactors, each of which includes the substrate processing system of the first patent application scope, wherein N is an integer greater than 0, wherein the substrate moving system of the substrate processing system includes one minute The degree mechanism is configured to index the substrate between a plurality of workstations in at least one of the N reactors when the DC bias voltage is generated. 如申請專利範圍第1項之基板處理系統,其中,該DC偏電壓和該RF電壓係皆連接至該上電極和該下電極的其中一者。For example, the substrate processing system of claim 1 in the patent scope, wherein the DC bias voltage and the RF voltage are both connected to one of the upper electrode and the lower electrode. 如申請專利範圍第1項之基板處理系統,其中,該偏壓產生電路配置成於該RF電漿熄滅之前的該第一預定週期時產生該DC偏電壓,且於該後續的RF電漿點燃之前停止該DC偏電壓。For example, the substrate processing system of the first patent application scope, wherein the bias generating circuit is configured to generate the DC bias voltage at the first predetermined period before the RF plasma is extinguished, and the subsequent RF plasma is ignited. This DC bias voltage was stopped before. 如申請專利範圍第1項之基板處理系統,其中,該偏壓產生電路配置成於熄滅該RF電漿之後的該第二預定週期時產生該DC偏電壓,且於點燃該後續的RF電漿之前停止該DC偏電壓。For example, the substrate processing system of claim 1 in which the bias voltage generating circuit is configured to generate the DC bias voltage at the second predetermined period after the RF plasma is extinguished, and ignite the subsequent RF plasma. This DC bias voltage was stopped before. 如申請專利範圍第1項之基板處理系統,其中,除了當該RF電漿係點燃的一段時間期間之外,該偏壓產生電路配置成連續地產生該DC偏電壓。For example, the substrate processing system of claim 1, wherein the bias generating circuit is configured to continuously generate the DC bias voltage except when the RF plasma system is ignited for a period of time. 如申請專利範圍第1項之基板處理系統,其中,該RF產生系統包含:一RF產生器,用以產生該RF電壓;以及一匹配和分配網路,連通該RF產生器及該上電極和該下電極的該其中一者。For example, the substrate processing system of the first patent application scope, wherein the RF generating system includes: an RF generator for generating the RF voltage; and a matching and distribution network connecting the RF generator and the upper electrode and The one of the lower electrodes. 一種基板處理系統,包含:一處理室;一上電極,配置在該處理室內;一基座,配置在該處理室內,其中,該基座係配置以支撐一基板,且其中,該基座包含一下電極;一RF產生系統,配置成藉由供應一RF電壓至該上電極,在該處理室內該上電極和該下電極之間產生RF電漿;一偏壓產生電路,配置以選擇性地供應一DC偏電壓至該上電極,其係藉由:於該RF電壓停止之前的一第一預定週期或該RF電壓已經停止之後的一第二預定週期之其中至少一者時,開始該DC偏電壓,及於該RF電壓已經停止之後且於一後續的RF電壓啟動之前的一第三預定週期時,停止該DC偏電壓;以及一基板移動系統,配置以當產生該DC偏電壓時,產生一移動訊號以相對於該基座移動該基板。A substrate processing system includes: a processing chamber; an upper electrode disposed in the processing chamber; a base disposed in the processing chamber, wherein the base is configured to support a substrate, and wherein the base includes Lower electrode; an RF generating system configured to generate an RF plasma between the upper electrode and the lower electrode in the processing chamber by supplying an RF voltage to the upper electrode; a bias generating circuit configured to selectively Supplying a DC bias voltage to the upper electrode by starting the DC when at least one of a first predetermined period before the RF voltage stops or a second predetermined period after the RF voltage has stopped Bias voltage, and stopping the DC bias voltage at a third predetermined period after the RF voltage has stopped and before a subsequent RF voltage is started; and a substrate moving system configured to generate the DC bias voltage when A moving signal is generated to move the substrate relative to the base. 如申請專利範圍第11項之基板處理系統,其中,該偏壓產生電路包含:一DC電壓供應器;以及一同步電路,連通該DC電壓供應器及配置以產生該DC偏電壓。For example, the substrate processing system according to item 11 of the patent application, wherein the bias generating circuit includes: a DC voltage supply; and a synchronization circuit that communicates with the DC voltage supply and is configured to generate the DC bias voltage. 如申請專利範圍第12項之基板處理系統,其中,該偏壓產生電路更包含一低通濾波器,其配置以過濾該同步電路的一輸出及具有連通該上電極的一輸出。For example, the substrate processing system of claim 12 in the patent application, wherein the bias generating circuit further includes a low-pass filter configured to filter an output of the synchronization circuit and an output having a connection to the upper electrode. 如申請專利範圍第11項之基板處理系統,更包含一機器人,建構成相對於該基座移動該基板,其中,當該DC偏電壓被產生時,該機器人移動該基板。For example, the substrate processing system of the scope of application for patent No. 11 further includes a robot configured to move the substrate relative to the base, wherein the robot moves the substrate when the DC bias voltage is generated. 一種基板處理工具,包含:N個反應器,各自包含申請專利範圍第11項之該基板處理系統,其中,N係大於0的一整數;以及一分度機構,配置以當產生該DC偏電壓時,在該N個反應器的其中至少一者中的複數個工作站之間分度基板。A substrate processing tool, comprising: N reactors, each of which includes the substrate processing system for patent application No. 11, wherein N is an integer greater than 0; and an indexing mechanism configured to generate the DC bias voltage At that time, the substrate is indexed between a plurality of workstations in at least one of the N reactors. 如申請專利範圍第11項之基板處理系統,其中,該偏壓產生電路配置成於熄滅該RF電漿之前的該第一預定週期時產生該DC偏電壓,且於熄滅該RF電漿之後停止該DC偏電壓。For example, the substrate processing system of claim 11 in which the bias generating circuit is configured to generate the DC bias voltage at the first predetermined period before extinguishing the RF plasma, and stop after extinguishing the RF plasma. The DC bias voltage. 如申請專利範圍第11項之基板處理系統,其中,該偏壓產生電路配置成於該RF電漿熄滅之後的該第二預定週期時產生該DC偏電壓,且於一後續的RF電漿點燃之前停止該DC偏電壓。For example, the substrate processing system of claim 11 in which the bias generating circuit is configured to generate the DC bias voltage at the second predetermined period after the RF plasma is extinguished, and is ignited by a subsequent RF plasma This DC bias voltage was stopped before. 如申請專利範圍第11項之基板處理系統,其中,除了當該RF電漿係點燃時之外,該偏壓產生電路配置成連續地產生該DC偏電壓。For example, the substrate processing system of claim 11 in which the bias generating circuit is configured to continuously generate the DC bias voltage except when the RF plasma system is ignited. 如申請專利範圍第11項之基板處理系統,其中,該RF產生系統包含:一RF產生器,用以產生該RF電壓;以及一匹配和分配網路,連通該RF產生器和該上電極。For example, the substrate processing system according to claim 11 of the patent application scope, wherein the RF generating system includes: an RF generator for generating the RF voltage; and a matching and distribution network connecting the RF generator and the upper electrode. 如申請專利範圍第1項之基板處理系統,其中,該基板移動系統更配置以產生與該DC偏電壓至少部分重疊之該移動訊號。For example, the substrate processing system of the first patent application scope, wherein the substrate moving system is further configured to generate the mobile signal that at least partially overlaps the DC bias voltage. 一種基板處理系統,包含:一上電極和一下電極,配置在一處理室內;一基座,配置在該處理室內,其中,該基座係配置以於處理期間支撐一基板;一氣體遞送系統,配置以選擇性地遞送前驅物、一或多種沉積載體氣體及一後沉積沖洗氣體的其中至少一者;一RF產生系統,配置成在該前驅物及該一或多種沉積載體氣體係由該氣體遞送系統加以遞送的同時,藉由供應一RF電壓至該上電極和該下電極的其中一者而在該處理室內該上電極和該下電極之間產生RF電漿以沉積膜在該基板上;以及一偏壓產生電路,配置成在該後沉積沖洗氣體係由該氣體遞送系統加以遞送的同時,選擇性地供應一DC偏電壓至該上電極和該下電極的該其中一者,其中,由該氣體遞送系統遞送之該後沉積沖洗氣體包含一分子反應氣體。A substrate processing system includes: an upper electrode and a lower electrode disposed in a processing chamber; a base disposed in the processing chamber, wherein the base is configured to support a substrate during processing; a gas delivery system, Configured to selectively deliver at least one of a precursor, one or more deposition carrier gases, and a post-deposition flushing gas; an RF generation system configured to displace the precursor and the one or more deposition carrier gas systems from the gas While the delivery system is delivering, an RF plasma is generated between the upper electrode and the lower electrode in the processing chamber by supplying an RF voltage to one of the upper electrode and the lower electrode to deposit a film on the substrate. And a bias generating circuit configured to selectively supply a DC bias voltage to one of the upper electrode and the lower electrode while the post-deposition flushing gas system is being delivered by the gas delivery system, wherein The post-deposition flushing gas delivered by the gas delivery system includes a molecular reactive gas. 如申請專利範圍第21項之基板處理系統,其中,該後沉積沖洗氣體不包含惰性氣體。For example, the substrate processing system of the scope of application for patent No. 21, wherein the post-deposition flushing gas does not include an inert gas. 如申請專利範圍第21項之基板處理系統,其中,該後沉積沖洗氣體係選自該沉積載體氣體的其中一者。For example, the substrate processing system according to claim 21, wherein the post-deposition flushing gas system is selected from one of the deposition carrier gases. 如申請專利範圍第21項之基板處理系統,其中,該後沉積沖洗氣體在從0.2Torr到6Torr的處理壓力下與氦氣和氬氣相比具有一較高的崩潰電壓。For example, the substrate processing system of claim 21, wherein the post-deposition flushing gas has a higher breakdown voltage than helium and argon at a processing pressure from 0.2 Torr to 6 Torr. 如申請專利範圍第21項之基板處理系統,其中,該DC偏電壓的起始係被啟動於熄滅該RF電漿之前的一第一預定週期和熄滅該RF電漿之後的一第二預定週期的其中一者。For example, the substrate processing system of claim 21, wherein the starting of the DC bias voltage is started at a first predetermined period before the RF plasma is extinguished and a second predetermined period after the RF plasma is extinguished. One of them. 如申請專利範圍第21項之基板處理系統,更包含一基板移動系統,配置以當該DC偏電壓產生時,相對於該基座移動該基板。For example, the substrate processing system of the 21st patent application scope further includes a substrate moving system configured to move the substrate relative to the base when the DC bias voltage is generated. 如申請專利範圍第26項之基板處理系統,其中,該基板移動系統包含一機器人,建構成相對於該基座移動該基板。For example, the substrate processing system with the scope of application for patent No. 26, wherein the substrate moving system includes a robot, and is configured to move the substrate relative to the base. 一種基板處理工具,包含:N個反應器,各自包含複數個該申請專利範圍第26項之基板處理系統,其中,N係大於0的一整數,其中,該基板移動系統包含一分度機構,配置以當該DC偏電壓產生時,在該N個反應器的其中至少一者的該複數個基板處理系統之間分度基板。A substrate processing tool includes: N reactors, each of which includes a plurality of substrate processing systems in the scope of the patent application No. 26, wherein N is an integer greater than 0, wherein the substrate moving system includes an indexing mechanism, It is configured to index substrates between the plurality of substrate processing systems of at least one of the N reactors when the DC bias voltage is generated. 如申請專利範圍第21項之基板處理系統,其中,該偏壓產生電路於該RF電漿熄滅之前產生該DC偏電壓,且於一後續的RF電漿點燃之前停止該DC偏電壓。For example, the substrate processing system of claim 21, wherein the bias generating circuit generates the DC bias voltage before the RF plasma is extinguished, and stops the DC bias voltage before a subsequent RF plasma is ignited. 如申請專利範圍第21項之基板處理系統,其中,除了當該RF電漿係點燃時的一段時間期間之外,該偏壓產生電路連續地產生該DC偏電壓。For example, the substrate processing system of claim 21, wherein the bias generating circuit continuously generates the DC bias voltage except for a period of time when the RF plasma system is ignited. 如申請專利範圍第21項之基板處理系統,其中,該RF產生系統包含:一RF產生器,用以產生該RF電壓;以及一匹配和分配網路,連通該RF產生器及該上電極和該下電極的該其中一者。For example, the substrate processing system with the scope of patent application No. 21, wherein the RF generating system includes: an RF generator for generating the RF voltage; and a matching and distribution network connecting the RF generator and the upper electrode and The one of the lower electrodes. 如申請專利範圍第21項之基板處理系統,其中,該膜包含無氮抗反射膜,該沉積載體氣體包含二氧化碳及氦氣,且該後沉積沖洗氣體包含二氧化碳。For example, the substrate processing system of claim 21, wherein the film includes a nitrogen-free antireflection film, the deposition carrier gas includes carbon dioxide and helium, and the post-deposition flushing gas includes carbon dioxide. 如申請專利範圍第21項之基板處理系統,其中,該膜包含非晶形矽,該一或多種沉積載體氣體包含氫分子及氦氣,且該後沉積沖洗氣體包含氫分子。For example, the substrate processing system of claim 21, wherein the film includes amorphous silicon, the one or more deposition carrier gases include hydrogen molecules and helium, and the post-deposition flushing gas includes hydrogen molecules. 如申請專利範圍第21項之基板處理系統,其中,該膜包含可灰化硬遮罩,該一或多種沉積載體氣體包含氫分子及氦氣,且該後沉積沖洗氣體包含氫分子。For example, the substrate processing system of claim 21, wherein the film includes an ashable hard mask, the one or more deposition carrier gases include hydrogen molecules and helium, and the post-deposition flushing gas includes hydrogen molecules. 如申請專利範圍第21項之基板處理系統,其中,該膜包含氮化矽,該一或多種沉積載體氣體包含氮分子及氨,且該後沉積沖洗氣體包含氮分子。For example, the substrate processing system according to claim 21, wherein the film includes silicon nitride, the one or more deposition carrier gases include nitrogen molecules and ammonia, and the post-deposition flushing gas includes nitrogen molecules. 如申請專利範圍第21項之基板處理系統,其中,該膜包含二氧化矽,該一或多種沉積載體氣體包含氮分子及一氧化二氮,且該後沉積沖洗氣體包含氮分子。For example, the substrate processing system according to claim 21, wherein the film includes silicon dioxide, the one or more deposition carrier gases include nitrogen molecules and nitrous oxide, and the post-deposition flushing gas includes nitrogen molecules. 如申請專利範圍第21項之基板處理系統,其中,該膜包含碳氧化矽,該一或多種沉積載體氣體包含二氧化碳及氦氣,且該後沉積沖洗氣體包含二氧化碳。For example, the substrate processing system according to claim 21, wherein the film includes silicon oxycarbide, the one or more deposition carrier gases include carbon dioxide and helium, and the post-deposition flushing gas includes carbon dioxide. 一種處理基板的方法,於一基板處理系統內處理一基板,該方法包含:選擇性地遞送前驅物、一或多種沉積載體氣體及後沉積沖洗氣體的其中至少一者至一處理室;藉由當供應一RF電壓至一上電極和一下電極的其中一者時在該處理室內該上電極和該下電極之間產生RF電漿,且同時遞送該前驅物和該一或多種沉積載體氣體,沉積膜在該基板上;以及選擇性地供應一DC偏電壓至該上電極和該下電極的該其中一者,其中,該後沉積沖洗氣體於該DC偏電壓的至少一部分期間係加以遞送,以及其中,該後沉積沖洗氣體包含一分子反應氣體。A method for processing a substrate, processing a substrate in a substrate processing system, the method comprising: selectively delivering at least one of a precursor, one or more deposition carrier gases, and a post-deposition flushing gas to a processing chamber; When an RF voltage is supplied to one of an upper electrode and a lower electrode, an RF plasma is generated between the upper electrode and the lower electrode in the processing chamber, and the precursor and the one or more deposition carrier gases are simultaneously delivered, Depositing a film on the substrate; and selectively supplying a DC bias voltage to the one of the upper electrode and the lower electrode, wherein the post-deposition flushing gas is delivered during at least a portion of the DC bias voltage, And wherein, the post-deposition flushing gas includes a molecular reaction gas. 如申請專利範圍第38項之處理基板的方法,其中,該後沉積沖洗氣體不包含惰性氣體。For example, the method for processing a substrate according to claim 38, wherein the post-deposition flushing gas does not include an inert gas. 如申請專利範圍第38項之處理基板的方法,其中,該後沉積沖洗氣體係選自該一或多種沉積載體氣體的其中一者。For example, the method for processing a substrate according to claim 38, wherein the post-deposition flushing gas system is selected from one of the one or more deposition carrier gases. 如申請專利範圍第38項之處理基板的方法,其中,該後沉積沖洗氣體在從0.2Torr到6Torr的處理壓力下與氦氣和氬氣相比具有一較高的崩潰電壓。For example, the method for processing a substrate according to item 38 of the patent application, wherein the post-deposition flushing gas has a higher breakdown voltage than helium and argon at a processing pressure from 0.2 Torr to 6 Torr. 如申請專利範圍第38項之處理基板的方法,其中,該DC偏電壓的起始係被啟動於熄滅該RF電漿之前的一第一預定週期和熄滅該RF電漿之後的一第二預定週期之其中一者。For example, the method for processing a substrate according to item 38 of the patent application, wherein the starting of the DC bias voltage is started at a first predetermined period before the RF plasma is extinguished and a second predetermined after the RF plasma is extinguished. One of the cycles. 如申請專利範圍第38項之處理基板的方法,更包含當產生該DC偏電壓時,相對於支撐該基板的一基座移動該基板。For example, the method for processing a substrate according to item 38 of the patent application scope further includes moving the substrate relative to a base supporting the substrate when the DC bias voltage is generated. 如申請專利範圍第38項之處理基板的方法,更包含當產生該DC偏電壓時分度基板。For example, the method for processing a substrate according to item 38 of the patent application scope further includes indexing the substrate when the DC bias voltage is generated. 如申請專利範圍第38項之處理基板的方法,更包含在熄滅該RF電漿之前產生該DC偏電壓,且在點燃一後續的RF電漿之前停止該DC偏電壓。For example, the method for processing a substrate according to item 38 of the patent scope further includes generating the DC bias voltage before extinguishing the RF plasma, and stopping the DC bias voltage before igniting a subsequent RF plasma. 如申請專利範圍第38項之處理基板的方法,更包含除了當點燃該RF電漿時的一段時間期間之外,連續地產生該DC偏電壓。For example, the method for processing a substrate according to item 38 of the patent application scope further includes continuously generating the DC bias voltage in addition to a period of time when the RF plasma is ignited. 如申請專利範圍第38項之處理基板的方法,其中,該膜包含無氮抗反射膜,該一或多種沉積載體氣體包含二氧化碳及氦氣,且該後沉積沖洗氣體包含二氧化碳。For example, the method for processing a substrate according to claim 38, wherein the film includes a nitrogen-free antireflection film, the one or more deposition carrier gases include carbon dioxide and helium, and the post-deposition flushing gas includes carbon dioxide. 如申請專利範圍第38項之處理基板的方法,其中,該膜包含非晶形矽,該一或多種沉積載體氣體包含氫分子及氦氣,且該後沉積沖洗氣體包含氫分子。For example, the method for processing a substrate according to claim 38, wherein the film includes amorphous silicon, the one or more deposition carrier gases include hydrogen molecules and helium, and the post-deposition flushing gas includes hydrogen molecules. 如申請專利範圍第38項之處理基板的方法,其中,該膜包含可灰化硬遮罩,該一或多種沉積載體氣體包含氫分子及氦氣,且該後沉積沖洗氣體包含氫分子。For example, the method for processing a substrate according to claim 38, wherein the film includes an ashable hard mask, the one or more deposition carrier gases include hydrogen molecules and helium, and the post-deposition flushing gas includes hydrogen molecules. 如申請專利範圍第38項之處理基板的方法,其中,該膜包含氮化矽,該一或多種沉積載體氣體包含氮分子及氨,且該後沉積沖洗氣體包含氮分子。For example, the method for processing a substrate according to claim 38, wherein the film includes silicon nitride, the one or more deposition carrier gases include nitrogen molecules and ammonia, and the post-deposition flushing gas includes nitrogen molecules. 如申請專利範圍第38項之處理基板的方法,其中,該膜包含二氧化矽,該一或多種沉積載體氣體包含氮分子及一氧化二氮,且該後沉積沖洗氣體包含氮分子。For example, the method for processing a substrate according to claim 38, wherein the film includes silicon dioxide, the one or more deposition carrier gases include nitrogen molecules and nitrous oxide, and the post-deposition flushing gas includes nitrogen molecules. 如申請專利範圍第38項之處理基板的方法,其中,該膜包含碳氧化矽,該一或多種沉積載體氣體包含二氧化碳及氦氣,且該後沉積沖洗氣體包含二氧化碳。For example, the method for processing a substrate according to item 38 of the application, wherein the film includes silicon oxycarbide, the one or more deposition carrier gases include carbon dioxide and helium, and the post-deposition flushing gas includes carbon dioxide.
TW104118566A 2014-06-10 2015-06-09 Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas TWI663284B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/300,854 US10081869B2 (en) 2014-06-10 2014-06-10 Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US14/300,854 2014-06-10
US14/519,520 2014-10-21
US14/519,520 US10047438B2 (en) 2014-06-10 2014-10-21 Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Publications (2)

Publication Number Publication Date
TW201614097A TW201614097A (en) 2016-04-16
TWI663284B true TWI663284B (en) 2019-06-21

Family

ID=54769102

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104118566A TWI663284B (en) 2014-06-10 2015-06-09 Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas

Country Status (6)

Country Link
US (3) US10047438B2 (en)
JP (2) JP6679222B2 (en)
KR (2) KR102423181B1 (en)
CN (2) CN110098100B (en)
SG (2) SG10201504548UA (en)
TW (1) TWI663284B (en)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US10043636B2 (en) 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
KR20200100642A (en) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 Spatial and temporal control of ion bias voltage for plasma processing
PL3711080T3 (en) * 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR102088596B1 (en) * 2018-07-09 2020-06-01 램 리써치 코포레이션 Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
TW202104656A (en) * 2019-03-28 2021-02-01 美商蘭姆研究公司 Showerhead shroud
JP7234036B2 (en) * 2019-05-28 2023-03-07 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP7389573B2 (en) * 2019-06-26 2023-11-30 株式会社アルバック Plasma processing equipment and plasma processing method
KR20220031713A (en) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 Bias supply with single controlled switch
WO2021050308A1 (en) * 2019-09-12 2021-03-18 Applied Materials, Inc. Repulsion mesh and deposition methods
US20220119952A1 (en) * 2020-10-20 2022-04-21 Applied Materials, Inc. Method of reducing defects in a multi-layer pecvd teos oxide film
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US12046448B2 (en) 2022-01-26 2024-07-23 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
TW287293B (en) * 1995-06-07 1996-10-01 Materials Research Corp Plasma processing system with reduced particle contamination
US20060060303A1 (en) * 2003-03-31 2006-03-23 Tokyo Electron Limited Plasma processing system and method
US20080053817A1 (en) * 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102529203A (en) * 2010-11-01 2012-07-04 索尼公司 Barrier film and method of manufacturing same
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
DE3830249A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke PLASMA PROCESS FOR COATING LEVEL SUBSTRATES
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5294320A (en) 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
JP3137682B2 (en) 1991-08-12 2001-02-26 株式会社日立製作所 Method for manufacturing semiconductor device
US5232618A (en) 1991-09-30 1993-08-03 E. I. Du Pont De Nemours And Company Substantially constant boiling compositions of difluoromethane and trifluoroethane or perfluoroethane
JP2647585B2 (en) 1991-11-28 1997-08-27 三菱電機株式会社 Automatic thin film measuring device
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6245189B1 (en) 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
KR100192489B1 (en) 1995-12-26 1999-06-15 구본준 Method for measuring wet etch
US5672242A (en) 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5902494A (en) 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JP3220383B2 (en) 1996-07-23 2001-10-22 東京エレクトロン株式会社 Plasma processing apparatus and method
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
JP3402972B2 (en) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 Method for manufacturing semiconductor device
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5924058A (en) 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
DE19713637C2 (en) 1997-04-02 1999-02-18 Max Planck Gesellschaft Particle manipulation
JPH10321604A (en) * 1997-05-22 1998-12-04 Nec Kyushu Ltd Plasma treatment device
JP4120974B2 (en) 1997-06-17 2008-07-16 キヤノンアネルバ株式会社 Thin film manufacturing method and thin film manufacturing apparatus
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
DE19814871A1 (en) 1998-04-02 1999-10-07 Max Planck Gesellschaft Method and device for targeted particle manipulation and deposition
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2000026975A (en) 1998-07-09 2000-01-25 Komatsu Ltd Surface treating device
JP4153606B2 (en) 1998-10-22 2008-09-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
US6286685B1 (en) 1999-03-15 2001-09-11 Seh America, Inc. System and method for wafer thickness sorting
US6194234B1 (en) 1999-06-04 2001-02-27 Taiwan Semiconductor Manufacturing Company Method to evaluate hemisperical grain (HSG) polysilicon surface
JP3639868B2 (en) 1999-06-29 2005-04-20 株式会社東北テクノアーチ Method and apparatus for processing fine particle dust in plasma
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP4592867B2 (en) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 Parallel plate type plasma CVD apparatus and dry cleaning method
GB0016562D0 (en) 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
AU2001282879A1 (en) 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6841033B2 (en) 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
JP3555084B2 (en) 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 Plasma processing method for semiconductor substrate and plasma processing apparatus for semiconductor substrate
US6790376B1 (en) 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US6708559B2 (en) 2001-09-28 2004-03-23 Infineon Technologies Ag Direct, non-destructive measurement of recess depth in a wafer
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6922603B1 (en) 2002-09-26 2005-07-26 Lam Research Corporation System and method for quantifying uniformity patterns for tool development and monitoring
US6642531B1 (en) 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components
JP4418193B2 (en) 2003-08-22 2010-02-17 東京エレクトロン株式会社 Particle removal apparatus, particle removal method, and plasma processing apparatus
US8608422B2 (en) 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US7207339B2 (en) 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
DE102004007952B3 (en) 2004-02-18 2005-09-01 Infineon Technologies Ag Determining depth of recesses formed in supporting substrate involves recording and evaluating time profile of reduction in weight of substrate during evaporation of moistening substance
JP4364242B2 (en) 2004-03-22 2009-11-11 三益半導体工業株式会社 Process management method and spin etching apparatus in spin etching
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
EP2479782B1 (en) * 2004-06-21 2018-12-19 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7728252B2 (en) 2004-07-02 2010-06-01 Ulvac, Inc. Etching method and system
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
KR100672820B1 (en) 2004-11-12 2007-01-22 삼성전자주식회사 Method of processing a processed object using plasma
US7528386B2 (en) 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7799138B2 (en) 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
GB0620196D0 (en) 2006-10-11 2006-11-22 Metryx Ltd Measuring apparatus
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
GB0704936D0 (en) 2007-03-14 2007-04-25 Metryx Ltd Measuring apparatus
US20080302652A1 (en) 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
KR100876836B1 (en) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 Method for fabricating semiconductor device
GB0719469D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0800227D0 (en) 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
JP5224837B2 (en) * 2008-02-01 2013-07-03 株式会社東芝 Substrate plasma processing apparatus and plasma processing method
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
GB0804499D0 (en) 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
JP5397215B2 (en) 2009-12-25 2014-01-22 ソニー株式会社 Semiconductor manufacturing apparatus, semiconductor device manufacturing method, simulation apparatus, and simulation program
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
JP2012188701A (en) * 2011-03-10 2012-10-04 Kanagawa Acad Of Sci & Technol Apparatus and method for forming coating film
US8501500B2 (en) 2011-06-20 2013-08-06 The Institute of Microelectronics, Chinese Academy of Science Method for monitoring the removal of polysilicon pseudo gates
JP2013125796A (en) * 2011-12-13 2013-06-24 Hitachi High-Technologies Corp Plasma processing method and device
JP2013125761A (en) * 2011-12-13 2013-06-24 Sharp Corp Semiconductor manufacturing device and semiconductor manufacturing method
JP2013239574A (en) * 2012-05-15 2013-11-28 Tokyo Electron Ltd Method for manufacturing solar cell and plasma processing device
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9530641B2 (en) 2012-11-26 2016-12-27 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5298103A (en) * 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
TW287293B (en) * 1995-06-07 1996-10-01 Materials Research Corp Plasma processing system with reduced particle contamination
US20060060303A1 (en) * 2003-03-31 2006-03-23 Tokyo Electron Limited Plasma processing system and method
US20080053817A1 (en) * 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102529203A (en) * 2010-11-01 2012-07-04 索尼公司 Barrier film and method of manufacturing same
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning

Also Published As

Publication number Publication date
CN105185727B (en) 2018-12-04
JP2016040409A (en) 2016-03-24
US20200332418A1 (en) 2020-10-22
JP7254042B2 (en) 2023-04-07
US10704149B2 (en) 2020-07-07
JP2020114942A (en) 2020-07-30
CN105185727A (en) 2015-12-23
TW201614097A (en) 2016-04-16
JP6679222B2 (en) 2020-04-15
US20150354061A1 (en) 2015-12-10
CN110098100A (en) 2019-08-06
KR102423181B1 (en) 2022-07-19
KR20220104667A (en) 2022-07-26
SG10201906712PA (en) 2019-09-27
US20180347046A1 (en) 2018-12-06
CN110098100B (en) 2022-01-04
SG10201504548UA (en) 2016-01-28
US10047438B2 (en) 2018-08-14
KR102576851B1 (en) 2023-09-08
KR20150141907A (en) 2015-12-21

Similar Documents

Publication Publication Date Title
TWI663284B (en) Improved defect control and stability of dc bias in rf plasma-based substrate processing systems using molecular reactive purge gas
US11365479B2 (en) Ex situ coating of chamber components for semiconductor processing
TWI687539B (en) Systems and methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102598660B1 (en) Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9508547B1 (en) Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10081869B2 (en) Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
KR20150103642A (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
KR102598863B1 (en) Rapid chamber cleaning using simultaneous in-situ and remote plasma sources
TW201800596A (en) Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US20220136104A1 (en) Multi-station semiconductor processing with independently adjustable pedestals
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
WO2023069924A1 (en) Valve manifold for semiconductor processing
KR102706039B1 (en) Methods and devices for increasing reactor processing batch size
TW202324489A (en) In-situ back side plasma treatment for residue removal from substrates
KR20200067218A (en) Methods and devices for increasing reactor processing batch size
TW201945587A (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage