CN104979347A - 具在材料层的沟槽中的接触结构和栅极结构的半导体装置 - Google Patents

具在材料层的沟槽中的接触结构和栅极结构的半导体装置 Download PDF

Info

Publication number
CN104979347A
CN104979347A CN201510151723.6A CN201510151723A CN104979347A CN 104979347 A CN104979347 A CN 104979347A CN 201510151723 A CN201510151723 A CN 201510151723A CN 104979347 A CN104979347 A CN 104979347A
Authority
CN
China
Prior art keywords
material layer
source
drain
active region
gate trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510151723.6A
Other languages
English (en)
Other versions
CN104979347B (zh
Inventor
谢瑞龙
W·J·小泰勒
R·R-H·金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN104979347A publication Critical patent/CN104979347A/zh
Application granted granted Critical
Publication of CN104979347B publication Critical patent/CN104979347B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

本发明涉及具在材料层的沟槽中的接触结构和栅极结构的半导体装置,揭露一种示例性装置包括,除了别的之外,定义在半导体衬底中的主动区,位在该衬底上方的材料层,形成于在该主动区上方的该材料层中的多个侧向间隔开的源极/漏极沟槽,形成在各该源极/漏极沟槽内的导电源极/漏极接触结构,至少部分形成于该材料层中的该间隔开的源极/漏极沟槽之间的该材料层中的栅极沟槽,其中,部分的该材料层依然位在该源极/漏极沟槽与该栅极沟槽之间,栅极结构位在该栅极沟槽内,且栅极帽层位在该栅极结构的上方。

Description

具在材料层的沟槽中的接触结构和栅极结构的半导体装置
技术领域
本发明一般是关于集成电路的制造,更详而言之,是有关于利用具有多个沟槽形成于其中的材料层来形成半导体装置的方法及其所得到的半导体装置。
背景技术
在现代集成电路中,像是微处理器、储存装置等等,提供相当大数量的电路元件,特别是晶体管,并且在一受限的晶片面积上运作。在利用金属氧化物半导体(MOS)技术制造的集成电路中,所提供的场效晶体管(FETs)(包括NMOS和PMOS晶体管)通常是用于切换模式。即是说,这些晶体管装置存在一高导通状态(“开”状态)与一高阻抗状态(“关”状态)。场效晶体管可采用各种形式与配置。例如,除了这些配置之外,场效晶体管可以是所谓的平面场效晶体管装置或三维(3D)装置,像是鳍式场效晶体管装置。
场效晶体管(FET),无论是否考虑NMOS晶体管或PMOS晶体管,还是无论它是平面或者是三维鳍式场效晶体管,通常包括掺杂源极/漏极区,其形成于被通道区分开的半导体衬底中。栅极绝缘层位在该通道区上方而导电栅极电极位在该栅极绝缘层上方。该栅极绝缘层和该栅极电极有时可称作该装置的栅极结构。借由施加适当电压至该栅极电极,该通道区变成导通且允许电流从该源极区流通到该漏极区。在平面场效晶体管装置中,该栅极结构形成于该衬底的实质平坦的上表面上方。在一些例子中,于在该平面场效晶体管装置的该源极/漏极区中形成的凹穴内进行一或多道磊晶生长制程以形成磊晶半导体材料。在一些例子中,该磊晶材料可在平面场效晶体管装置的该源极/漏极区中形成而不在衬底中形成任何凹穴。对于这类平面场效晶体管装置的栅极结构可用所谓的“前栅极”或“替代栅极”(后栅极)制造技术来制造。
为改善场效晶体管的运作速度,以及增加场效晶体管在集成电路装置上的密度,这些年来装置设计者已大幅缩小场效晶体管的物理尺寸。更具体来说,场效晶体管的通道长度已经显著地减少,促使增进了场效晶体管的切换速度。然而,减少场效晶体管的通道长度也减少了该源极区与该漏极区之间的距离。在一些例子中,缩小该源极与该漏极之间的间隔使得它受到该漏极电位的不利影响而难以有效地抑制该源极区与该通道的电位。有时这被称为所谓的短通道效应,其中,该场效晶体管的特征是退化成为主动开关。
相较于具有平面结构的场效晶体管,所谓的鳍式场效晶体管具有三维(3D)结构。为在相当高水平的程度下方便说明鳍式场效晶体管的一些基本特征,将参照图1A,其是现有技术中的一示例性的鳍式场效晶体管半导体装置“A”,形成在半导体衬底B上方。在这个例子中,鳍式场效晶体管装置A包括三个示例性的鳍部C、栅极结构D、侧壁间隔层E和栅极帽F。栅极结构D通常包括绝缘材料层(未示出),例如高k值绝缘材料层或二氧化硅,以及一或多个导电材料层(例如,金属及/或多晶硅),作为装置A的栅极电极。鳍部C具有三维结构:高度H、宽度W、以及轴向长度L。当装置A为可运作时,轴向长度L对应装置A电流行进的方向。鳍部C被栅极结构D覆盖的部分是鳍式场效晶体管装置A的通道区。在传统的制造流程中,鳍部C位在间隔层E外侧,即在装置A的源极/漏极区中,可借由进行一或多道磊晶生长制程而被放大尺寸,甚至结合在一起(未于图1A中示出的情况)。对装置A的该源极/漏极区中的鳍部C进行放大尺寸或结合的制程以降低源极/漏极区的阻抗及/或使它易于建立电接触至该源极/漏极区。即使没有进行磊晶“合并”制程,通常也将在鳍部C上进行磊晶生长制程以增加其物理尺寸。在鳍式场效晶体管装置A中,栅极结构D可包围鳍部C全部或部分的侧边或上表面以形成三栅极结构,以便使用具有三维结构而非平面结构的通道。在一些例子中,绝缘帽层(未示出),例如氮化硅,位在鳍部C的顶部且该鳍式场效晶体管装置仅具有双栅极结构(仅侧边)。这类鳍式场效晶体管装置的栅极结构D可用所谓的“前栅极”或“替代栅极”(后栅极)制造技术来制造。
不像平面场效晶体管,在鳍式场效晶体管装置中,通道是垂直于该半导体衬底的表面形成,以致减少该半导体装置的物理尺寸。而且,在鳍式场效晶体管中,在该装置的漏极区的接面电容大幅减少,其意在显著降低短通道效应。当用一适当电压施加在鳍式场效晶体管装置的该栅极电极时,鳍部C的表面(以及靠近该表面的内部),即该鳍部的垂直定位的侧壁和顶部上表面,形成有助于电流导通的表面反转层或体积反转层。在鳍式场效晶体管装置中,该“通道宽度”预估约为2倍的垂直鳍部高度乘上该鳍部的顶部表面的宽度,即该鳍部宽度(对于三栅极装置而言)。多个鳍部可在如平面晶体管装置一样的占用面积下形成。因此,对于给定的区域空间(或占用面积),鳍式场效晶体管相较于平面晶体管装置更能够显著地产生较高的驱动电流密度。此外,鳍式场效晶体管装置被切换成“关”状态时的漏电流相对于平面场效晶体管会明显减少,由于在鳍式场效晶体管装置上的“鳍部”通道具有较佳的栅极静电控制。总之,鳍式场效晶体管装置的三维结构相较于平面场效晶体管是较佳的MOSFET结构,特别是在20纳米及以下的CMOS技术节点。
当装置尺寸持续缩小,鳍式场效晶体管装置的鳍部的物理尺寸也可以缩小。其结果是,该鳍部在半导体的处理世界中是非常小的结构。再者,由于现有技术中的鳍式场效晶体管装置的制造方式,该鳍部受到多道的清洗和蚀刻制程而不良地移除该鳍部结构在该装置的源极/漏极区中的部分,尽管尽最大努力使用选择性蚀刻/清洗化学物。传统上,该鳍部是所形成的鳍式场效晶体管装置的第一部件。它们借由通过图案化的蚀刻掩膜来进行蚀刻制程以定义衬底中的该鳍部。之后,栅极结构(不论是最终栅极结构或牺牲栅极结构)在该鳍部的通道区上方形成,借由进行一或多道的反应性离子蚀刻制程以图案化该栅极材料和栅极帽层。此后,侧壁间隔层相邻于该栅极结构形成,其借由沉积间隔材料层和进行另一道反应性离子蚀刻制程以移除该间隔材料层的所希望部分,留下形成于相邻该栅极结构的侧壁间隔层。之后在制程运作中,在该源极/漏极区中的该鳍部上形成磊晶半导体材料之前,磊晶预清洗制程将在该装置的该源极/漏极区中的该鳍部上进行。从上述的简要说明,该源极/漏极区的该鳍部受到至少两道反应性离子蚀刻制程(栅极图案化和间隔层形成),以及该磊晶预清洗制程,其皆会不良地移除鳍部材料。
在一些例子中,损坏该装置的该源极/漏极区中的该鳍部结构可以不是明显重要的事,即是说,其中可能会有明显的磊晶材料生长于该源极/漏极区中的应用。然而,即使在这些情况下,若在该装置的该源极/漏极区中有过多的磊晶材料生长,可能会有问题发生,例如,磊晶对磊晶的短路发生在相邻装置之间或在单一装置上的该栅极结构的端部周围。
可能引起关注的另一个领域是关于形成所谓的自对准接点。一般制造流程用于形成这类接点时涉及到于二氧化硅层中形成开口,其被认为是停在氮化硅栅极帽层和氮化硅侧壁间隔层(形成用来保护该栅极材料)上。不幸地,在该接触开口蚀刻的制程期间有消耗太多该栅极帽层及/或该侧壁间隔层的风险,可能导致该栅极材料曝光。当该接触点是形成在该接触开口内时,由于失去该帽和/或间隔材料,会有机会创造出接触点到栅极的电性短路。
图1B是一示例性晶体管装置(可能是平面或鳍式场效晶体管装置)的简易平面图。如图所示,该晶体管由源极和漏极区组成,它们形成在由绝缘材料,通常是二氧化硅,所包围的主动区中。该装置的该栅极结构(“栅极”)在该主动区上方形成并且一或多个侧壁间隔层使用各种已知的制程技术相邻于该栅极结构形成。通常该间隔层是借由、沉积间隔材料层,例如氮化硅来形成,且在那之后进行非等向性蚀刻制程。注意该间隔层时是围绕着该栅极结构的整个周围来形成,而该间隔层是有意地在该栅极结构周边外围的所有位置形成一实质均匀的厚度。
本发明是涉及利用具有多个沟槽形成于其中的材料层来形成半导体装置的各种方法以及所得到的半导体装置,其可避免或至少降低上述提及的一个或多个问题的影响。
发明内容
下面给出本发明的简要概述以提供对于本发明的一些方面的基本理解。该概述并非本发明的详尽浏览。它并非意在标识本发明的关键或重要元件或是描述本发明的范围。其唯一目的是以简化形式来呈现一些概念作为开端,以对后续讨论做更详尽描述。
一般来说,本发明是涉及利用具有多个沟槽形成于其中的材料层来形成半导体装置的各种方法及所得到的半导体装置。本发明的一示例性方法包括,除其他之外,在半导体衬底的至少一个完整的主动区上方形成材料层,在该主动区上方的材料层中形成多个侧向间隔开的源极/漏极沟槽,进行至少一道制程运作通过该间隔开的源极/漏极沟槽以形成该装置的掺杂源极/漏极区,在形成该装置的该掺杂源极/漏极区之后,形成栅极沟槽,其至少部分于该材料层中的该侧向间隔开的源极/漏极沟槽之间的该材料层中,其中,部分的该材料层依然位在该源极/漏极沟槽与该栅极沟槽之间,在该栅极沟槽内形成栅极结构,以及在位于该栅极沟槽内的该栅极结构的上方形成栅极帽层。
本发明的另一示例性的方法包括,除其他之外,在半导体衬底的至少一个完整的主动区上方形成材料层,在该主动区上方的材料层中形成多个侧向间隔开的源极/漏极沟槽,进行至少一道制程运作通过该间隔开的源极/漏极沟槽以形成该装置的掺杂源极/漏极区,在形成该装置的该掺杂源极/漏极区之后,形成栅极沟槽,其至少部分于该材料层中的该间隔开的源极/漏极沟槽之间的该材料层中,其中,部分的该材料层依然位在该源极/漏极沟槽与该栅极沟槽之间,在该栅极沟槽内形成栅极结构,在位于该栅极沟槽内的该栅极结构上方形成栅极帽层,以及在形成该栅极帽层之后,在各该源极/漏极沟槽内形成导电源极/漏极接触结构。
本发明的又一个另外的示例性方法包括,除其他之外,在半导体衬底的至少一个完整的主动区上方形成材料层,在该主动区上方的材料层中形成多个侧向间隔开的源极/漏极沟槽,进行至少一道制程运作通过该间隔开的源极/漏极沟槽以形成该装置的掺杂源极/漏极区,在形成该装置的该掺杂源极/漏极区之后,在各该源极/漏极沟槽内形成导电源极/漏极接触结构,在形成该导电源极/漏极接触结构之后,形成栅极沟槽,其至少部分于该材料层中的该间隔开的源极/漏极沟槽之间的该材料层中,其中,部分的该材料层依然位在该源极/漏极沟槽与该栅极沟槽之间,在该栅极沟槽内形成栅极结构,以及在位于该栅极沟槽内的该栅极结构上方形成栅极帽层。
本发明的又一个另外的示例性方法包括,除其他之外,在半导体衬底的至少一个完整的主动区上方形成材料层,在该主动区上方的材料层中形成多个侧向间隔开的源极/漏极沟槽,进行至少一道制程运作通过该间隔开的源极/漏极沟槽以形成该装置的掺杂源极/漏极区,在该材料层中的该间隔开的源极/漏极沟槽之间的该材料层中形成栅极沟槽,从而定义该材料层的剩余部分位于该源极/漏极沟槽与该栅极沟槽之间的该主动区上方,其中,在该主动区上方的该材料层的该剩余部分的上表面在该装置的栅极长度方向具有实质上相同的宽度,在该栅极沟槽内形成栅极结构,以及在各该源极/漏极沟槽内形成导电源极/漏极接触结构。
本发明的一示例性装置包括,除其他之外,定义在半导体衬底中的主动区,位于该衬底上方的材料层,形成在该主动区上方的该材料层中的多个侧向间隔开的源极/漏极沟槽,形成在各该源极/漏极沟槽内的导电源极/漏极接触结构,至少部分形成于该材料层中的该间隔开的源极/漏极沟槽之间的该材料层中的栅极沟槽,其中,部分的该材料层依然位在该源极/漏极沟槽与该栅极沟槽之间,位在该栅极沟槽内的栅极结构,以及位在该栅极结构上方的栅极帽层。
本发明的另一示例性装置包括,除其他之外,定义在半导体衬底中的主动区,位于该衬底上方的材料层,形成在该主动区上方的该材料层中的多个侧向间隔开的源极/漏极沟槽,形成在各该源极/漏极沟槽内的导电源极/漏极接触结构,至少部分形成于该材料层中的该间隔开的源极/漏极沟槽之间的该材料层中的栅极沟槽,其中,该材料层的第一部分依然位在该主动区上方的该源极/漏极沟槽与该栅极沟槽之间,且该材料层的第二部分依然位在该主动区外的该源极/漏极沟槽与该栅极沟槽之间,其中,该材料层的该第二部分于该装置的栅极宽度方向比该材料层的该第一部分更厚,以及位在该栅极沟槽内的栅极结构,其中,该栅极结构的侧壁的第一部分位在相邻于该材料层的该第一部分,而该栅极结构的侧壁的第二部分位在相邻于该材料层的该第二部分。
附图说明
本发明可借由参考下文详述并合附图来理解,其中相同的元件符号标示相同元件,且其中:
图1A为现有技术的鳍式场效晶体管装置的一示例性实施例的透视图;
图1B为一示例性的现有技术的晶体管装置的平面图;
图2A到图2R显示本发明揭露的利用具有多个沟槽形成于其中的材料层形成半导体装置的示例性方法及所得到的半导体装置;
图3A到图3G显示本发明揭露的利用具有多个沟槽形成于其中的材料层形成半导体装置的另一示例性方法及所得到的半导体装置;以及
图4A到图4C显示本发明揭露的各种装置的示例性范例的平面图。
尽管本文所公开的主题易受到各种修改和替代形式,其具体实施例已经借由图中示例示出并在本文中详细说明。然而,应当理解的是,本文所述的具体实施例并不是意在限制本发明于所公开的特定型式,相反的是,其意图是在于涵盖所有落入借由如所附权利要求书限定的本发明的精神与范围内的修改、等同物、以及替代物。
具体实施方式
下文详述本发明的各种示例性实施例。为了清楚起见,并不是实际实施例的所有特征都有在本说明书中描述。应当理解在任何这种实施例子的发展中,许多实施方式的特定决定必须用以实现开发者的特定目标,例如符合与系统相关的和商业相关的限制,这将从一个实施例到另一个实施例改变。此外,应当理解这种开发的努力可能是复杂且费时的,但是对于受益于本发明的本领域技术人士仍然是例行任务。
本发明主题将会参照附图已进行说明。在附图中所揭露的各种结构、系统和装置仅为说明之用,以便不至于模糊本发明中为本领域技术人士所知的细节。尽管如此,该附图是被用来描述与解释本发明的示例性范例。本文所用的字词与片语应当被理解和解释为符合那些相关领域技术人士对那些字词与片语的理解的含义。词语或片语没有特殊的定义,即不同于如那些本领域技术人士所理解的一般和习惯的含义,意在本文中一致使用的词语或片语来暗示。对于该词语或片语具有特别含义的范围内,即超出现有技术人士所理解的含义,这样的特殊定义将会以定义的方式明确规定在说明书中,以直接而清楚地提供该词语或片语其特殊含义。
本发明一般是涉及利用具有多个沟槽形成于其中的材料层来形成半导体装置的各种方法及所得到的半导体装置。此外,如本领域技术人士在完整阅读完本说明书后所显而易见的,本方法适用于各种装置,包括但不限于逻辑装置、储存装置等,且本文所公开的方法可用于形成N型或P型半导体装置。本文所公开的方法和装置可用于利用各种技术制造产品,例如NMOS、PMOS、CMOS等,且它们可用于制造出各种不同装置,例如储存装置、逻辑装置、ASIC等。参照附图,本文所公开的方法与装置的各种示例性实施例现将更详细地描述。
在一实施例中,示例性装置100将在半导体衬底102之中与上方形成,具有堆积结构。装置100可以是NMOS或PMOS晶体管。另外,各种掺杂区,例如源极/漏极区、晕植入区、阱区域等,皆没有在该附图中示出。衬底102可由硅或硅以外的材料制成。因此,该词语“衬底”或“半导体衬底”应被理解为涵盖所有半导体材料及这类材料的所有形态。
如同本领域技术人士在完整阅读完本说明书后所理解的,本文所公开的方法和结构可于形成平面或三维晶体管装置时使用。在一三维形式的示例性装置100中,鳍式场效晶体管装置100将被描述以便于揭露本文中详述的主题。另外,各种掺杂区,例如源极/漏极区、晕植入区、阱区域等,都没有在该附图中示出。当然,本文所公开的发明不应被认为是受限于在本文中所描绘及叙述的示例性范例。此外,在该附图中所示出的晶体管装置可以是NMOS或PMOS装置。本文所揭露的装置100的各种组件和结构可用各种材料层及借由进行各种已知技术,例如化学气相沉积(CVD)制程、原子层沉积(ALD)制程、热生长制程、旋转涂布技术等来形成。这些各种材料层的厚度也可依据特定应用而变化。
在一般情况下,随后附图包含一简要的平面图及各种截面图。如仅于图2A的右上角示出的简要平面图,视图“X-X”是通过装置100的源极/漏极区在装置100的栅极宽度方向所截取的截面图,而视图“Y-Y”是通过一示例性鳍部的长轴截取,即沿着该鳍部的长轴通过栅极结构在晶体管装置的栅极长度方向(电流输送方向)的截面图。
在图2A中显示的制造点,可于衬底102中形成隔离材料(未示出)以定义出在衬底102中的示例性的间隔开的主动区102A、102B。间隔开的主动区102A-B仅在附图中的平面图示出,而该截面图显示出形成于各该主动区102A-B上方的示例性装置的制造。该隔离区可用传统技术形成,例如,传统的浅沟槽隔离区可在衬底102中形成。在鳍式场效晶体管装置的例子中,该隔离区可在将如下文更加详述形成的该鳍式结构形成之前或之后形成。在图2A中显示的制造点,衬底102具有露出的上表面102S。仅供参考,装置100的栅极结构和鳍部的大致位置示于图2A中的虚线。
图2B示出了进行几道另外的制程运作之后的装置100。首先,一或多道的蚀刻制程,例如非等向性蚀刻制程,是通过图案化的蚀刻掩膜(未示出)进行以定义多个形成鳍部的沟槽104于衬底102中。沟槽104的形成导致多个初始鳍部结构106的形成。此后,绝缘材料108的凹层,像是二氧化硅层,在鳍部106之间的沟槽104中形成。在一示例性的实施例中,绝缘材料108的凹层可借由覆盖式沉积绝缘材料的初始层横跨该装置来形成,以致过度填充沟槽104。此后,可进行选择性的化学机械研磨(CMP)制程以平坦化材料层108的上表面。然后,可在绝缘材料层上进行定时的凹陷回蚀制程以把绝缘材料层108的上表面108S凹进到所期望的高度水平,从而在凹陷表面108S上方露出鳍部106的期望量值。材料层108可包括各种不同的材料,像是二氧化硅等,且它可借由进行各种技术,例如化学气相沉积(CVD)等来形成。
鳍部结构106的宽度和高度以及沟槽104的深度可依据特定应用而变化。此外,形成鳍部的沟槽104和鳍部106的整体尺寸、形状和构造可依据特定应用而变化。在附图中示出的示例性例子,示出的形成鳍部的沟槽104和鳍部106具有均匀的尺寸和形状。然而,形成鳍部的沟槽104和鳍部106的尺寸及形状其这样的均匀性不需要在本发明的至少一些方面中实施。在附图中,形成鳍部的沟槽104被描绘为已经借由进行非等向性蚀刻制程而形成,导致形成鳍部的沟槽104,其具示意性地描绘,通常为矩形构造。在实际的真实世界装置,形成鳍部的沟槽104的侧壁可稍微向内渐缩,尽管该构造没有在附图中示出。在一些情况下,形成鳍部的沟槽104可具有折返轮廓(未示出)在形成鳍部的沟槽104底部的附近。在某种程度上,形成鳍部的沟槽104可借由进行湿蚀刻制程来形成,形成鳍部的沟槽104可倾向于具有更圆的构造或非线性的构造,对比借由进行非等向性蚀刻制程来形成的形成鳍部的沟槽104的一般性矩形构造。因此,形成鳍部的沟槽104的尺寸及构造,以及它们的制成方式,还有鳍部106的一般性构造,不应被认为是限制本发明。为了便于公开的目的,仅有实质矩形的形成鳍部的沟槽104和鳍部106将在随后的附图中描绘。此外,装置100可以与鳍部106的任何期望数量来形成。
图2C显示形成共形蚀刻停止层110之后以及覆盖式沉积材料层112横跨装置100之后的装置100。材料层112可依据特定应用而由各种不同材料组成。在一示例性实施例中,材料层112可由低k值氮化硅材料,像是硅-碳-硼-氮化物(SiBxCyN)、氮化硅(SiN)、具有介电常数小于或等于7的材料等组成。材料层112可形成为任何所期望的厚度。蚀刻停止层110可由各种材料组成,像是二氧化硅、氧化铪等。
图2D为一平面图,用以解释材料层112如何在不同应用中形成。在图2D左手边所示的例子,材料层112是覆盖式沉积在衬底102的整个表面上方,以便覆盖定义于晶圆中的所有主动区,包括示于图2D左边的四个示例性间隔开的主动区102A-D。在图2D的右手边示出的例子,材料层112形成到四个示例性的且独立的材料层112A-D,其每个分别位在间隔开的主动区102A-D上方。在图2D右手边示出的例子中,另一绝缘材料层层116形成在材料层112A-D的间隔开区之间。例如,绝缘材料层116相对于材料层112的材料可包括低k值材料或高k值材料,如果需要的话。在图2D右手边示出的布置可借由沉积材料层110、112来制造出,进行一或多道蚀刻制程通过掩膜层(未示出)以图案化材料112的覆盖式沉积层,然后在定义于材料层112A-D的间隔开区之间的沟槽中形成绝缘材料层116。在稍后的应用例子,材料层112A-D的间隔开区应为一尺寸,使得它们超出具有约3-10纳米的距离112X的底层主动区边缘。当然,当从上方俯视时,主动区102A-D不需具有方形构造,即它们可以具有矩形构造。其余的附图将以形成如图2D左手边所示的材料层112的实施例为准。
图2E显示在进行几道制程运作之后的装置。首先,栅极掩膜层117在装置100上方形成。栅极掩膜层117一般包括图案化的牺牲材料118(例如,非晶硅)、侧壁间隔层122(例如,氮化硅)和栅极帽层120(例如,二氧化硅)。在图2E中示出的栅极掩膜层117借由覆盖沉积牺牲材料层118来形成,对应栅极帽层120的覆盖沉积材料,然后,进行一或多道非等向性蚀刻制程通过图案化掩膜(未示出)以定义牺牲材料118的图案化层,以及位于上方的图案化帽层120。接着,侧壁间隔层122借由一致地沉积间隔物材料层来形成,之后,进行非等向性蚀刻制程以定义侧壁间隔层122。如本领域技术人士在完整阅读本说明书之后将能理解的是,栅极掩膜层117可具有横向宽度117W(对应装置100的栅极长度(电流输送)的方向),其等于装置100的栅极结构的目标横向宽度加上约2倍的该装置的“间隔层”的最终期望宽度,将位在相邻于该栅极结构以及该装置之源极/漏极区之间。如将从下文讨论中清楚的是,“间隔层”实际上是初始材料层112的一部分。
图2F显示在进行几道制程运作之后的装置100。首先,在一实施例中,牺牲材料层124,例如无定形硅,被覆盖沉积到整个装置100。之后,进行CMP制程以平坦化牺牲材料层124的上表面124S与帽层120的上表面120S。
图2G显示图案化牺牲材料层124之后的装置100,图案化是借由进行蚀刻制程通过图案化蚀刻掩膜(未示出),例如图案化光阻蚀刻掩膜,以定义多个开口124A、124B于牺牲材料层124中。一般来说,开口124A-B各具有构造对应于底层主动区102A-B(分别)加上栅极掩膜层117延伸出主动区的边缘的部分。图2G的右上部的平面图仅是已图案化后的牺牲材料层124的平面图。如图所示,该蚀刻制程露出材料层112,其位在将变成装置100的源极/漏极区之物的上方。
图2H显示在进行非等向性蚀刻制程通过在图案化的牺牲材料层124中开口124A-B之后,以定义材料层112中的源极/漏极开口或沟槽126的装置100。该蚀刻制程停在蚀刻停止层110,从而露出蚀刻停止层110以便进一步处理。该蚀刻制程相对于间隔层122和栅极帽层120也为选择性。注意,由于非等向性蚀刻制程的特性,源极/漏极沟槽126的侧壁巷内渐缩成某一角度。图2H的右上部分的平面图只是已形成四个示例性源极/漏极沟槽126延伸经过材料层112的材料层112的平面图。底层的蚀刻停止层110未示于右上方的平面图。
图2I显示了借由进行相对周围材料的选择性蚀刻制程而移除牺牲材料层124的装置100。
图2J显示了进行几道额外的制程运作之后的装置100。首先,蚀刻停止层100所露出的部分借由进行蚀刻制程通过材料层112中的源极/漏极沟槽126来被移除。该蚀刻制程露出鳍部106。下一道制程运作包含引入掺杂材料、N型或P型,进入到该主动区的露出部分,从而形成装置100的源极/漏极区。在一示例性实施例中,进行共形掺杂制程以形成高度掺杂区106D于鳍部106未被材料层112覆盖的部分中。作为一个例子,可进行如现有技术文章,题目“鳍式场效晶体管之共形掺杂与借由新颖的B2/H6/He自我调节电浆掺杂制程制造出之平面场效晶体管的精确控制浅掺杂(Conformal Doping of FinFETs and Precise ControllableShallow Doping for Planar FET Manufacturing by a Novel B2/H6/HeliumSelf-Regulatory Plasma Doping Process)”,由佐佐木(Sasaki)等人提出,所述的共形掺杂制程,在此通过引用以将整体并入本文。在掺杂物被掺入鳍部106之后,进行热处理制程以激活该掺杂材料以及修复鳍部106的晶体结构的任何损伤。在一示例性实施例中,这样的热处理制程可以是快速热退火制程,其于温度落在约900-1050℃的范围之中,持续约5-60秒时间下进行。注意,在该热处理制程期间,该掺杂材料将迁移朝向将变成该装置通道区106C之物,仅管这样的掺杂剂迁移未在附图中示出。可替代地,而不是进行上述的共形掺杂制程,可形成磊晶半导体材料在源极/漏极区中的鳍部(不论是凹陷或未凹陷)上。该磊晶材料可被原位掺杂,或者它可以通过进行后离子植入制程来被掺杂。
图2K显示了在源极/漏极沟槽126内的鳍部106上形成磊晶半导体材料128(例如,磊晶硅)的选择层之后的装置100。磊晶材料128的厚度可依据特定应用来变化。注意,由于磊晶材料128是形成在由源极/漏极沟槽126定义的密闭空间之中,磊晶材料128将不会生长在不期望的地点,当在使用现有制造技术时是一个问题。例如,借由在源极/漏极沟槽126中形成磊晶材料128,磊晶材料128将不会生长及接触生长于相邻主动区的磊晶材料。当然,磊晶材料128不需在所有应用中形成。如果需要的话,此时可在制造流程用传统制造技术于磊晶材料128或鳍部106的顶端(如果没形成磊晶材料128)形成金属硅化物区(未示出)。
继续参考图2K,下一道制程运作包括在装置100上方形成共形牺牲蚀刻停止层130和另一牺牲材料层132(由覆盖沉积形成)。此后,进行CMP制程以平坦化牺牲材料层132的上表面,以及帽层120的上表面120S。牺牲蚀刻停止层130可由各种材料组成,像是二氧化硅、氧化铪等。牺牲材料层132也可由各种不同的材料组成,例如无定形硅、多晶硅等。注意,没有试图在简要的平面图中显示出牺牲蚀刻停止层130。
图2L显示了进行几道制程运作之后的装置100。首先,凹陷牺牲层132使得它的上表面位于材料层112的上表面112U之下。然后,牺牲材料134的另一层,例如二氧化硅,被覆盖式沉积横跨装置100。接着,进行一或多道CMP制程以平坦化牺牲材料134的上表面,从而移除帽层120并露出栅极掩膜层117的牺牲材料118的上表面118U。
图2M显示了借由进行蚀刻制程以移除牺牲材料118之后的装置100。该蚀刻制程露出在间隔层122之间的区域中的材料层112。
图2N显示了进行几道制程运作之后的装置100。首先,进行非等向性蚀刻制程通过栅极掩膜117的间隔层122之间的开口,以在材料层112中定义出栅极沟槽136。该非等向性蚀刻制程停在蚀刻停止层110,从而露出蚀刻停止层110以便进一步处理。接着,进行蚀刻制程以移除蚀刻停止层110所露出的部分。在即将变成装置100的通道区106C的区域中,蚀刻停止层110的移除露出栅极沟槽136内的鳍部106的上表面106U。注意,由于非等向性蚀刻制程的特性,栅极沟槽136的侧壁向内渐缩到某一角度,如角度138所反映出,其可约为89-86度。栅极沟槽136的锥形侧壁将使得形成装置的栅极结构更容易,并减少在栅极结构中形成不希望的空隙的机会。在图2N的右上部的平面图是仅为已延伸通过材料层112而形成四个示例性源极/漏极沟槽126与两个示例性栅极沟槽136的材料层112的平面图。由这些沟槽126、136所露出的底层材料未在右上平面图中示出。位在其间的源极/漏极沟槽126与栅极沟槽136之间的材料层112的剩余部分112X,将作为绝缘”间隔物”材料以形成装置100的栅极结构、源极/漏极磊晶材料128(若有形成的话),以及将形成为装置100的导电源极/漏极接触点,如在下文中更充分的讨论。在一示例性实施例中,在材料112X的顶部表面的材料112X的厚度可约为5-15纳米。注意使用本文公开的方法中,在将形成于栅极沟槽136中的栅极结构两边,间隔层112X将始终具有相同的近似厚度。这是因为在栅极掩膜层117中的牺牲材料118的形成,以及源极/漏极沟槽126与栅极掩膜层117形成作为蚀刻掩膜的事实。
当然,在实施例中,其中独立的材料层112分别位在单独的主动区上方,如图2D右侧所示,可在材料层112以及形成于材料层112间隔区之间的绝缘材料层116中形成栅极沟槽136。在这种情况下,材料层112定义了几乎所有的栅极沟槽136的长侧壁,而绝缘材料116定义栅极沟槽136的其余侧壁。因此,如本文和权利要求书中所使用的术语“栅极沟槽”应当理解为具有几乎所有的至少为长侧壁的沟槽,由材料层112所定义。当然,在一些应用中,栅极沟槽136的所有四个侧壁可由材料层112所定义。
图2O显示了在栅极沟槽136中形成示例性及示意性描绘的栅极结构140之后的装置100。文中所示的栅极结构140意在表示于制造集成电路产品时可采用任何类型的栅极结构的特性。通常,在形成将变成栅极结构140的一部分的各种材料层之前,将进行预清洗制程以企图从栅极沟槽136内移除所有异物材料。例如,可借由在栅极沟槽136中及材料层134上方实质沉积的栅极结构材料而形成栅极结构140,进行CMP制程以移除材料层134上方的多余材料,接着进行回蚀凹陷蚀刻制程,使得栅极结构140的上表面140U在所需的高度水平。作为一个具体的例子,高k值(k值大于10)栅极绝缘层(未示出),像是氧化铪,可沉积横跨装置100和在栅极沟槽136内,在借由进行共形沉积制程(即ALD或CLD沉积制程)的栅极沟槽136所露出的鳍部106的部分上。如果需要,可在形成高k值栅极绝缘层之前,形成二氧化硅薄界面层(未示出)。接着,可在高k值栅极绝缘层上和栅极沟槽136内借由进行共形ALD或CVD沉积制程,来沉积至少一层功函数调节金属层(未示出)(例如,氮化钛层或TiAlC,取决于制造的晶体管装置的类型)。当然,可在栅极沟槽136中形成更多层功函数金属,取决于在建构时的特定装置。然后,堆迭的导电材料,像是钨或铝,可在功函数调节金属层上方的栅极沟槽136中沉积。之后,进行一或多道的CMP制程以移除位在牺牲材料层134的表面上方的各种材料层的多余部分。接着,进行凹陷蚀刻制程以便移除栅极结构140材料的所需量,使得栅极结构140的上表面140U在栅极沟槽136内是处于所需高度水平。其他可能的材料用于栅极堆迭中的栅极绝缘层,包括但不限于氧化钽(Ta2O5)、氧化铪(HfO2)、氧化锆(ZrO2)、氧化钛(TiO2)、氧化铝(Al2O3)、铪硅酸盐(HfSiOx)等。其他可能的材料用于功函数调整金属层,包括但不限于钛(Ti)、氮化钛(TiN)、钛铝(TiAl)、钛铝碳(TiAlC),铝(Al)、氮化铝(AlN)、钽(Ta)、氮化钽(TaN)、碳化钽(TaC)、钽碳氮化物(TaCN)、钽硅氮化物(TaSiN)、钽硅(TaSi)等。
图2P显示了在凹陷栅极结构140上方的栅极沟槽136中形成示例性栅极帽层142之后的装置100。栅极帽层142可从各种不同材料中形成,例如通常是氮化硅。栅极帽层142可借由沉积栅极帽材料层以便过度填充在栅极沟槽136中及在栅极结构140上方的间隔层122之间的凹部而形成,之后,进行一或多道的CMP制程以移除该栅极帽材料层位于牺牲材料层132的表面上方的多余部分。该制程运作还移除牺牲材料层134。形成栅极帽层142以便保护于随后的制程运作期间的底层栅极结构140。
接着,如图2Q所示,进行一或多道的蚀刻制程以从源极/漏极沟槽126内移除牺牲材料132、130。在所示出的例子中,这些制程运作露出磊晶材料128的上表面128S且在源极/漏极沟槽126内的材料层112中定义出多个源极/漏极接触开口144。如果没有形成磊晶材料128,这些制程运作会露出在源极/漏极沟槽126内的鳍部106。
图2R显示了进行多道制程运作之后以在源极/漏极沟槽126内之接触开口144中形成示意性描绘的导电源极/漏极接触点146的装置100。通常,形成这样的导电结构146涉及到形成某些形式的金属硅化物(未示出)以及一或多道共形的、导电的阻隔层或线性层(未示出)于接触开口144中。在那之后,在该衬底上方覆盖沉积堆迭导电材料层,像是钨或铝,以便过度填充接触开口144。然后,用栅极帽层142作为抛光停止层,进行CMP制程以移除该导电材料位在材料层112的表面上方的多余部分。这些制程运作导致形成示于图2R中的导电结构146,被电耦接到形成在鳍部106中的该源极/漏极区。在此时的制造流程中,可进行传统的制造流程以完成该装置的制造,例如,各种金属化层的形成等。
图3A到图3G显示利用具有多个沟槽形成于其中的材料层形成半导体装置的另一示例性方法及其所得到的半导体装置。相对于上述讨论的前一实施例,在这个实施例中,没有磊晶材料128形成在该装置的源极/漏极区中,且在形成栅极沟槽136于材料层112中之前,在源极/漏极沟槽126内形成导电源极/漏极接触点146。
图3A显示了对应图2J所示的装置100,即已经在对应该装置的该源极/漏极区(即,在所示例子中的鳍部106)的该主动区的部分形成掺杂区106D之后。如上所述,在本实施例中,将没有磊晶材料128形成在鳍部106上。如前述,如果需要的话,金属硅化物区(未示出)可于此时的制造流程中在鳍部106上形成。此外,如同前述,源极/漏极沟槽126的未填充部分构成源极/漏极接触开口144。
图3B显示了在源极/漏极沟槽126内的接触开口144中形成上述的导电源极/漏极接触点146的装置100。然后,进行一或多道的CMP制程以移除该导电材料位在栅极帽层120的上表面的多余部分,即是说栅极帽层120是作为抛光停止层。
图3C显示了进行几道制程运作之后的装置100。首先,进行凹陷蚀刻制程以便凹陷导电源极/漏极接触点146的上表面146U,使其低于源极/漏极沟槽126的上表面126U。接着,上述的牺牲材料层134被覆盖沉积在该装置上方,进行一或多道CMP制程以移除帽层120并露出栅极掩膜层117的牺牲材料118的上表面118U。
图3D显示了借由蚀刻制程来移除牺牲材料118之后的装置100。该蚀刻制程露出在间隔层122之间区域中的材料层112。
图3E描绘了进行几道制程运作之后的装置100。首先,进行非等向性蚀刻制程通过栅极掩膜117的间隔层122之间的开口,以定义出在材料层112中的上述栅极沟槽136。如同前述,该非等向性蚀刻制程停在蚀刻停止层110上,从而露出蚀刻停止层110以便进一步处理。接着,借由进行蚀刻制程以移除蚀刻停止层110的露出部分。移除蚀刻停止层110会露出将变成装置100的通道区106C的区域中的栅极沟槽136内鳍部106的上表面106U。如同前述,位在源极/漏极沟槽126与栅极沟槽136之间的材料层112的剩余部分112X将作为其间的绝缘“间隔物”材料以形成装置100的栅极结构以及导电源极/漏极接触点146。
图3F显示在栅极沟槽136中形成上述栅极结构140的装置100。
图3G显示在凹陷栅极结构140上方的栅极沟槽136中形成上述栅极帽层142之后的装置100。牺牲材料层134也在进行CMP制程的期间被移除以形成栅极帽层142。在此时的制造流程上,可进行传统的制造流程以完成装置的制造,例如,各种金属化层的形成等。
图4A到图4C显示本文所揭露的各种装置的示例性范例的平面图。图4A是装置100的平面图,其中,材料层112是形成横跨整个晶圆,如图2D左边所示。如可在图4A中看出,材料层112的“间隔物”部分112X沿着该栅极结构,对形成在该衬底中的该主动区(在该装置的栅极宽度方向)的整体距离长度113上,具有实质均匀的厚度112Y。在本例中,考虑到材料层112是形成横跨整个晶圆,在该栅极结构延伸超出该主动区的位置,该栅极结构是由较大或较宽的材料层112保护。例如,任意的虚线115已被加到图4A以标示延伸超出该主动区的该栅极结构端部区是额外的封装材料。额外封装材料115相较于相邻该栅极结构的较长侧壁的“间隔物”112X的厚度112Y是明显比较厚。继续参考图4A和图2R,材料层112是连续的材料层,其分别具有形成于其中的间隔开的源极与漏极接触外壳结构131S、131D,各者邻接栅极结构140(经由间隔层112X)的侧壁且横向包围其分别的导电源极/漏极接触结构146以及邻接并接合栅极结构140的端部表面的栅极外壳结构131G。在这个实施例,源极和漏极接触外壳结构131S、131D在该装置的栅极宽度方向邻接栅极结构140的整个长侧壁。
图4B是装置100之平面图,其中,各个位于单独的主动区上方的独立材料层112形成在该衬底上方,如图2D右边所示。在这种情况下,另一个绝缘材料层116在材料层112的间隔开的区之间形成。在图4B所示的例子中,栅极沟槽136整体在材料层112内形成。如在图4B中看到,如同前述,个别的、间隔开的材料层112的“间隔物”部分112X沿着该栅极结构,对在该主动区(在该装置的栅极宽度方向)的整体距离长度113上,具有实质均匀的厚度112Y。在这个例子中,考虑到材料层112是形成为不显眼且个别的材料层,在该栅极结构延伸超出该主动区的位置,该栅极结构是由较大或较宽的材料层112保护。例如,任意的虚线117已被加到图4B以标示延伸超出该主动区的该栅极结构的端部区是额外的封装材料。该额外的封装材料相较于相邻该栅极结构的较长侧壁的“间隔物”112X的厚度112Y是明显比较厚。继续参考图4B和图2R,材料层112是单独但局部的连续材料层,具有形成于其中的上述间隔开的源极与漏极接触外壳结构131S、131D,以及栅极外壳结构131G。在这个实施例中,如同示于图4A中的实施例,源极和漏极接触外壳结构131S、131D在该装置的栅极宽度方向邻接栅极结构140的整个长侧壁。
图4C是装置100的平面图,其中,各个位于单独的主动区上方的独立材料层112形成在该衬底上方,如图2D右边所示。在这种情况下,另一个绝缘材料层116在材料层112的间隔开的区之间形成。在图4C所示的例子中,栅极沟槽136的形成使得它延伸超出材料层112且进到绝缘材料116,即是说,栅极沟槽136的几乎所有的长侧壁是由材料层112定义,而绝缘材料116定义栅极沟槽136的其余部分。如可在图4C中看出,如同前述,该独立且间隔开的材料层112的“间隔物”部分112X沿着该栅极结构,对在该主动区(在该装置之栅极宽度方向)的整体距离长度113上,具有实质均匀的厚度112Y。考虑到材料层112在这个例子中是形成为不显眼且个别的材料层,在该栅极结构延伸超出该主动区的位置,该栅极结构是由较大或较宽的材料层112保护。例如,任意的虚线121已被加到图4C以标示延伸超出该主动区的该栅极结构端部区域是额外的封装材料。额外的封装材料121相较于相邻该栅极结构的较长侧壁的“间隔物”112X的厚度112Y是明显比较厚。此外,在这特定的例子中,栅极结构的部分也可由绝缘材料层116的部分保护。继续参考图4B和图2R,材料层112是非连续材料层,具有形成于其中的上述间隔开的源极与漏极接触外壳结构131S、131D。如图所示,在这个例子中,源极和漏极接触外壳结构131S、131D被栅极结构140分间隔开。在这个实施例中,材料层112以及围绕材料层112的绝缘材料116的组合部分是作为栅极外壳结构133G。在这个实施例中,源极和漏极接触外壳结构131S、131D在该装置的栅极宽度方向邻接栅极结构140的长侧壁的大部分,但非整体的长度。
应当被本领域技术人士在完整阅读本说明书之后所理解的是,新颖的晶体管装置已在本文揭露。更具体的是,本文所公开的装置的一实施例包括,除其他之外,在半导体衬底中定义出的主动区,位在至少该整个主动区上方的材料层112,在该主动区上方的材料层112中形成的多个横向间隔的源极/漏极沟槽126,在各源极/漏极沟槽126内形成的导电源极/漏极接触结构146,在材料层112中间隔开的源极/漏极沟槽126之间的材料层112中形成的栅极沟槽136,其中,材料层112的部分112X依然位在源极/漏极沟槽126与栅极沟槽136之间,栅极结构140位在栅极沟槽136内且栅极帽层142位在栅极结构140上方。在所示出的例子中,栅极结构140邻接且接合栅极沟槽136的侧壁,而各导电源极/漏极接触结构邻接且接合它对应的源极/漏极126的侧壁。
上面公开的特定实施例仅是示例性的,因为本发明可以用对于受益于本文教示的本领域技术人士显而易见的不同但等效的方式修改并实施。例如,可以用不同的顺序执行上述的处理步骤。而且,没有限制意图构造或设计本文所示的细节,超过所附的权利要求书。因此很明显的,以上公开的特定实施例可被替代或修改,并且所有这样的变化都被认为在本发明的范围和精神内。注意术语的使用,像是“第一”、“第二”、“第三”或“第四”,在说明书中与在所附权利要求书中描述各种制程或结构,仅是用于速记参考这些步骤/结构,而且并不一定意味着这些步骤/结构要在有顺序的次序中进行/形成。当然,这取决于确切的权利要求语言,可以或可以不要求这样有次序的过程。因此,本文所寻求的保护是如所附的权利要求书。

Claims (21)

1.一种装置,包括:
主动区,定义在半导体衬底中;
材料层,位在该衬底上方;
多个侧向间隔开的源极/漏极沟槽,形成于在该衬底上方的该材料层中;
导电源极/漏极接触结构,形成于各该源极/漏极沟槽内;
栅极沟槽,至少部分形成于该材料层中的该侧向间隔开的源极/漏极沟槽之间的该材料层中,其中,部分的该材料料层依然位在该源极/漏极沟槽与该栅极沟槽之间;
栅极结构,位于该栅极沟槽内;以及
栅极帽层,位于该栅极结构上方。
2.如权利要求1所述的装置,其中,该装置为鳍式场效晶体管装置,且其中,该主动区由至少一个鳍部组成。
3.如权利要求1所述的装置,其中,该装置为平面装置,且其中,该主动区具有实质上均匀且平坦的上表面。
4.如权利要求1所述的装置,其中,该栅极结构由高k值栅极绝缘材料层以及位在该高k值栅极绝缘材料层上方的导电材料层组成。
5.如权利要求1所述的装置,还包括磊晶半导体材料,位在该主动区上的该源极/漏极沟槽内且在该导电源极/漏极接触结构之下。
6.如权利要求1所述的装置,其中,该材料层为具有等于或小于7的介电常数的材料。
7.如权利要求1所述的装置,还包括在该主动区中的掺杂源极/漏极区,其至少部分位在该源极/漏极沟槽下方。
8.如权利要求1所述的装置,其中,该栅极结构邻接且接合该栅极沟槽的侧壁。
9.如权利要求1所述的装置,其中,该导电源极/漏极接触结构邻接且接合该源极/漏极沟槽的侧壁。
10.如权利要求8所述的装置,其中,该导电源极/漏极接触结构邻接且接合该源极/漏极沟槽的侧壁。
11.如权利要求1所述的装置,其中,该栅极沟槽完全地形成于该材料层内。
12.一种装置,包括:
主动区,定义在半导体衬底中;
材料层,位在该衬底上方;
多个侧向间隔开的源极/漏极沟槽,形成于在该主动区上方的该材料层中;
导电源极/漏极接触结构,形成在各该源极/漏极沟槽内;
栅极沟槽,至少部分形成于该材料层中的该侧向间隔开的源极/漏极沟槽之间的该材料层中,其中,该材料层的第一部分依然位在该主动区上方的该源极/漏极沟槽与该栅极沟槽之间,且该材料层的第二部分依然位在该主动区外的该源极/漏极沟槽与该栅极沟槽之间,其中,该材料层的该第二部分于该装置的栅极宽度方向比该材料层的该第一部分更厚;以及
栅极结构,位在该栅极沟槽内,其中,该栅极结构的侧壁的第一部分位在相邻于该材料层的该第一部分,而该栅极结构的侧壁的第二部分位在相邻于该材料层的该第二部分。
13.如权利要求12所述的装置,其中,该装置为鳍式场效晶体管装置,且其中,该主动区由至少一个鳍部组成。
14.如权利要求12所述的装置,其中,该装置为平面装置,且其中,该主动区具有实质均匀且平坦的上表面。
15.如权利要求12所述的装置,其中,该栅极沟槽完全地形成于该材料层内。
16.如权利要求15所述的装置,其中,该栅极结构的端部侧壁位在相邻于该材料层。
17.如权利要求12所述的装置,其中,该材料层为具有等于或小于7的介电常数的材料。
18.如权利要求12所述的装置,还包括在该主动区中的掺杂源极/漏极区,其至少部分位在该源极/漏极沟槽下方。
19.如权利要求12所述的装置,其中,该栅极结构邻接且接合该栅极沟槽的侧壁。
20.如权利要求12所述的装置,其中,该导电源极/漏极接触结构邻接且接合该源极/漏极沟槽的侧壁。
21.如权利要求19所述的装置,其中,该导电源极/漏极接触结构邻接且接合该源极/漏极沟槽的侧壁。
CN201510151723.6A 2014-04-01 2015-04-01 具在材料层的沟槽中的接触结构和栅极结构的半导体装置 Expired - Fee Related CN104979347B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/242,416 2014-04-01
US14/242,416 US9299781B2 (en) 2014-04-01 2014-04-01 Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material

Publications (2)

Publication Number Publication Date
CN104979347A true CN104979347A (zh) 2015-10-14
CN104979347B CN104979347B (zh) 2018-04-20

Family

ID=54191512

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510151723.6A Expired - Fee Related CN104979347B (zh) 2014-04-01 2015-04-01 具在材料层的沟槽中的接触结构和栅极结构的半导体装置

Country Status (3)

Country Link
US (1) US9299781B2 (zh)
CN (1) CN104979347B (zh)
TW (1) TWI563632B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585561A (zh) * 2017-09-29 2019-04-05 恩智浦美国有限公司 具有漏极通孔布置的晶体管管芯以及其制造方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312388B2 (en) * 2014-05-01 2016-04-12 Globalfoundries Inc. Methods of forming epitaxial semiconductor material in trenches located above the source and drain regions of a semiconductor device
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9871042B2 (en) 2015-12-03 2018-01-16 Samsung Electronics Co., Ltd. Semiconductor device having fin-type patterns
CN108431928B (zh) * 2015-12-31 2023-07-25 上海凯世通半导体股份有限公司 FinFET的掺杂方法
US10014389B2 (en) * 2016-07-26 2018-07-03 Globalfoundries Inc. Methods of forming IC products comprising a nano-sheet device and a transistor device having first and second replacement gate structures
WO2018125035A1 (en) * 2016-12-27 2018-07-05 Intel Corporation Transistors including final source/drain material processed after replacement gate processing
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1645577A (zh) * 2003-12-09 2005-07-27 国际商业机器公司 FinFET的制作方法以及至少包含一个FinFET的集成电路
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
US20100148243A1 (en) * 2007-05-25 2010-06-17 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
CN102239546A (zh) * 2008-12-19 2011-11-09 英特尔公司 金属-绝缘体-半导体隧穿接触
CN102956453A (zh) * 2011-08-19 2013-03-06 联华电子股份有限公司 半导体装置及其制作方法
US20130285155A1 (en) * 2011-12-20 2013-10-31 Glenn A. Glass Iii-v layers for n-type and p-type mos source-drain contacts
CN103489784A (zh) * 2012-06-11 2014-01-01 格罗方德半导体公司 具有改良的栅极高度均匀性的半导体装置及其制造方法
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7220647B2 (en) * 2005-02-02 2007-05-22 United Microelectronics Corp. Method of cleaning wafer and method of manufacturing gate structure
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070287256A1 (en) * 2006-06-07 2007-12-13 International Business Machines Corporation Contact scheme for FINFET structures with multiple FINs
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
CN102768957B (zh) 2011-05-06 2016-09-14 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
US9029913B2 (en) * 2013-03-11 2015-05-12 International Business Machines Corporation Silicon-germanium fins and silicon fins on a bulk substrate
US9219062B2 (en) * 2013-05-24 2015-12-22 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1645577A (zh) * 2003-12-09 2005-07-27 国际商业机器公司 FinFET的制作方法以及至少包含一个FinFET的集成电路
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
US20100148243A1 (en) * 2007-05-25 2010-06-17 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
CN102239546A (zh) * 2008-12-19 2011-11-09 英特尔公司 金属-绝缘体-半导体隧穿接触
CN102956453A (zh) * 2011-08-19 2013-03-06 联华电子股份有限公司 半导体装置及其制作方法
US20130285155A1 (en) * 2011-12-20 2013-10-31 Glenn A. Glass Iii-v layers for n-type and p-type mos source-drain contacts
CN103489784A (zh) * 2012-06-11 2014-01-01 格罗方德半导体公司 具有改良的栅极高度均匀性的半导体装置及其制造方法
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585561A (zh) * 2017-09-29 2019-04-05 恩智浦美国有限公司 具有漏极通孔布置的晶体管管芯以及其制造方法
CN109585561B (zh) * 2017-09-29 2023-09-15 恩智浦美国有限公司 具有漏极通孔布置的晶体管管芯以及其制造方法

Also Published As

Publication number Publication date
US9299781B2 (en) 2016-03-29
US20150279935A1 (en) 2015-10-01
TW201541611A (zh) 2015-11-01
TWI563632B (en) 2016-12-21
CN104979347B (zh) 2018-04-20

Similar Documents

Publication Publication Date Title
CN104979347A (zh) 具在材料层的沟槽中的接触结构和栅极结构的半导体装置
CN107464757B (zh) 形成垂直晶体管器件的方法
CN104517859B (zh) 利用替代栅极技术形成鳍式场效晶体管的方法和器件
EP3227923B1 (en) Non-volatile memory array with concurrently formed low and high voltage logic devices
KR101404918B1 (ko) Finfet 구조물들에서의 fin 높이 제어
CN109103193B (zh) 垂直存储器件
US7087966B1 (en) Double-Gate FETs (field effect transistors)
CN104952733A (zh) 在FinFET半导体装置上形成绝缘材料的方法及所得到的装置
CN105551960A (zh) 形成三闸极鳍式场效电晶体装置的方法及该生成的装置
KR101941829B1 (ko) 집적된 하이-k 금속 게이트를 갖는 비휘발성 분리형 게이트 메모리 셀들 및 그를 제조하는 방법
CN107210303A (zh) 具有金属增强栅极的分裂栅非易失性闪存存储器单元及其制造方法
CN109155313A (zh) 用于形成三维存储器器件中的结构增强型半导体插塞的方法
CN104465393A (zh) 形成应力层于鳍式场效晶体管半导体器件的方法及器件
US9171934B2 (en) Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
CN107112355A (zh) 具有金属栅的分裂栅非易失性闪存存储器单元及其制造方法
CN104637819A (zh) 形成FinFET装置上的替代栅极结构及鳍片的方法以及装置
CN113169175A (zh) 具有鳍式场效应晶体管结构和hkmg存储器和逻辑栅的分裂栅非易失性存储器单元及其制备方法
TWI652803B (zh) 半導體裝置及其製造方法
CN104009040A (zh) 半导体装置以及半导体装置的制造方法
US10090398B2 (en) Manufacturing method of patterned structure of semiconductor
US11776856B2 (en) Nanosheet transistors with different gate materials in same stack and method of making
US11393728B2 (en) Semiconductor device and method of fabricating the same
CN103855026A (zh) FinFET及其制造方法
US8921923B2 (en) Method for manufacturing semiconductor memory device and semiconductor memory device
CN108288648A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180420

Termination date: 20190401