TW201541611A - 具有接觸結構及閘極結構形成在材料層之溝槽中的半導體裝置 - Google Patents

具有接觸結構及閘極結構形成在材料層之溝槽中的半導體裝置 Download PDF

Info

Publication number
TW201541611A
TW201541611A TW104109500A TW104109500A TW201541611A TW 201541611 A TW201541611 A TW 201541611A TW 104109500 A TW104109500 A TW 104109500A TW 104109500 A TW104109500 A TW 104109500A TW 201541611 A TW201541611 A TW 201541611A
Authority
TW
Taiwan
Prior art keywords
gate
source
drain
layer
trench
Prior art date
Application number
TW104109500A
Other languages
English (en)
Other versions
TWI563632B (en
Inventor
Rui-Long Xie
Jr William J Taylor
Ryan Ryoung-Han Kim
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201541611A publication Critical patent/TW201541611A/zh
Application granted granted Critical
Publication of TWI563632B publication Critical patent/TWI563632B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

本發明涉及具有接觸結構及閘極結構形成在材料層之溝槽中的半導體裝置,揭露一種示例性裝置包括,除了別的之外,定義在半導體基板中的主動區,位在該基板上方的材料層,形成於在該主動區上方的該材料層中的複數個側向間隔開的源極/汲極溝槽,形成在各該源極/汲極溝槽內的導電源極/汲極接觸結構,至少部分形成於該材料層中的該間隔開的源極/汲極溝槽之間的該材料層中的閘極溝槽,其中,部分的該材料層保留位在該源極/汲極溝槽與該閘極溝槽之間,閘極結構位在該閘極溝槽內,且閘極帽層位在該閘極結構的上方。

Description

具有接觸結構及閘極結構形成在材料層之溝槽中的半導體裝置
本發明一般是關於積體電路的製造,更詳而言之,是有關於利用具有複數個溝槽形成於其中的材料層來形成半導體裝置的方法及其所得到的半導體裝置。
在現代積體電路中,像是微處理器、儲存裝置等等,提供相當大數量的電路元件,特別是電晶體,並且在一受限的晶片面積上運作。在利用金屬氧化物半導體(MOS)技術製造的積體電路中,所提供的場效電晶體(FETs)(包括NMOS和PMOS電晶體)通常是用於切換模式。即是說,這些電晶體裝置存在一高導通狀態("開"狀態)與一高阻抗狀態("關"狀態)。場效電晶體可採用各種形式與配置。例如,除了這些配置之外,場效電晶體可以是所謂的平面場效電晶體裝置或三維(3D)裝置,像是鰭式場效電晶體裝置。
場效電晶體(FET),無論考慮的是NMOS電 晶體或PMOS電晶體,還是無論它是平面或者是三維鰭式場效電晶體,通常包括摻雜源極/汲極區,其形成於被通道區分開的半導體基板中。閘極絕緣層位在該通道區上方而導電閘極電極位在該閘極絕緣層上方。該閘極絕緣層和該閘極電極有時可稱作該裝置的閘極結構。藉由施加適當電壓至該閘極電極,該通道區變成導通且允許電流從該源極區流通到該汲極區。在平面場效電晶體裝置中,該閘極結構形成於該基板的實質平坦的上表面上方。在一些例子中,於在該平面場效電晶體裝置的該源極/汲極區中形成的凹穴內進行一或多道磊晶生長製程以形成磊晶半導體材料。在一些例子中,該磊晶材料可在平面場效電晶體裝置的該源極/汲極區中形成而不在基板中形成任何凹穴。對於這類平面場效電晶體裝置的閘極結構可用所謂的"前閘極"或"替代閘極"(後閘極)製造技術來製造。
為改善場效電晶體的運作速度,以及增加場效電晶體在積體電路裝置上的密度,這些年來裝置設計者已大幅縮小場效電晶體的物理尺寸。更具體來說,場效電晶體的通道長度已經顯著地減少,促使增進了場效電晶體的切換速度。然而,減少場效電晶體的通道長度也減少了該源極區與該汲極區之間的距離。在一些例子中,縮小該源極與該汲極之間的間隔使得它受到該汲極電位的不利影響而難以有效地抑制該源極區與該通道的電位。有時這被稱為所謂的短通道效應,其中,該場效電晶體的特徵是退化成為主動開關。
相較於具有平面結構的場效電晶體,所謂的鰭式場效電晶體具有三維(3D)結構。為在相當高水準的程度下方便說明鰭式場效電晶體的一些基本特徵,將參照第1A圖,其是現有技術中的一示例性的鰭式場效電晶體半導體裝置"A",形成在半導體基板B上方。在這個例子中,鰭式場效電晶體裝置A包括三個示例性的鰭部C、閘極結構D、側壁間隔層E和閘極帽F。閘極結構D通常包括絕緣材料層(未示出),例如高k值絕緣材料層或二氧化矽,以及一或多個導電材料層(例如,金屬及/或多晶矽),作為裝置A的閘極電極。鰭部C具有三維結構:高度H、寬度W、以及軸向長度L。當裝置A為可運作時,軸向長度L對應裝置A電流行進的方向。鰭部C被閘極結構D覆蓋的部分是鰭式場效電晶體裝置A的通道區。在傳統的製造流程中,鰭部C位在間隔層E外側,即在裝置A的源極/汲極區中,可藉由進行一或多道磊晶生長製程而被放大尺寸,甚至結合在一起(未於第1A圖中示出的情況)。對裝置A的該源極/汲極區中的鰭部C進行放大尺寸或結合的製程以降低源極/汲極區的阻抗及/或使它易於建立電接觸至該源極/汲極區。即使沒有進行磊晶"合併"製程,通常也將在鰭部C上進行磊晶生長製程以增加其物理尺寸。在鰭式場效電晶體裝置A中,閘極結構D可包圍鰭部C全部或部分的側邊或上表面以形成三閘極結構,以便使用具有三維結構而非平面結構的通道。在一些例子中,絕緣帽層(未示出),例如氮化矽,位在鰭部C的頂部且該鰭式場效電晶體 裝置僅具有雙閘極結構(僅側邊)。這類鰭式場效電晶體裝置的閘極結構D可用所謂的"前閘極"或"替代閘極"(後閘極)製造技術來製造。
不像平面場效電晶體,在鰭式場效電晶體裝置中,通道是垂直於該半導體基板的表面形成,以致減少該半導體裝置的物理尺寸。而且,在鰭式場效電晶體中,在該裝置的汲極區的接面電容大幅減少,其意在顯著降低短通道效應。當用一適當電壓施加在鰭式場效電晶體裝置的該閘極電極時,鰭部C的表面(以及靠近該表面的內部),即該鰭部的垂直定位的側壁和頂部上表面,形成有助於電流導通的表面反轉層或體積反轉層。在鰭式場效電晶體裝置中,該"通道寬度"預估約為2倍的垂直鰭部高度乘上該鰭部的頂部表面的寬度,即該鰭部寬度(對於三閘極裝置而言)。複數個鰭部可在如平面電晶體裝置一樣的佔用面積下形成。因此,對於給定的區域空間(或佔用面積),鰭式場效電晶體相較於平面電晶體裝置更能夠顯著地產生較高的驅動電流密度。此外,鰭式場效電晶體裝置被切換成"關"狀態時的漏電流相對於平面場效電晶體會明顯減少,由於在鰭式場效電晶體裝置上的"鰭部"通道具有較佳的閘極靜電控制。總之,鰭式場效電晶體裝置的三維結構相較於平面場效電晶體是較佳的MOSFET結構,特別是在20奈米及以下的CMOS技術節點。
當裝置尺寸持續縮小,鰭式場效電晶體裝置的鰭部的物理尺寸也可以縮小。其結果是,該鰭部在半 導體的處理世界中是非常小的結構。再者,由於現有技術中的鰭式場效電晶體裝置的製造方式,該鰭部受到多道的清洗和蝕刻製程而不良地移除該鰭部結構在該裝置的源極/汲極區中的部分,儘管盡最大努力使用選擇性蝕刻/清洗化學物。傳統上,該鰭部是所形成的鰭式場效電晶體裝置的第一部件。它們藉由通過圖案化的蝕刻遮罩來進行蝕刻製程以定義基板中的該鰭部。之後,閘極結構(不論是最終閘極結構或犧牲閘極結構)在該鰭部的通道區上方形成,藉由進行一或多道的反應性離子蝕刻製程以圖案化該閘極材料和閘極帽層。此後,側壁間隔層相鄰於該閘極結構形成,其藉由沉積間隔材料層和進行另一道反應性離子蝕刻製程以移除該間隔材料層的所希望部分,留下形成於相鄰該閘極結構的側壁間隔層。之後在製程運作中,在該源極/汲極區中的該鰭部上形成磊晶半導體材料之前,磊晶預清洗製程將在該裝置的該源極/汲極區中的該鰭部上進行。從上述的簡要說明,該源極/汲極區的該鰭部受到至少兩道反應性離子蝕刻製程(閘極圖案化和間隔層形成),以及該磊晶預清洗製程,其皆會不良地移除鰭部材料。
在一些例子中,損壞該裝置的該源極/汲極區中的該鰭部結構可以不是明顯重要的事,即是說,其中可能會有明顯的磊晶材料生長於該源極/汲極區中的應用。然而,即使在這些情況下,若在該裝置的該源極/汲極區中有過多的磊晶材料生長,可能會有問題發生,例如,磊晶對磊晶的短路發生在相鄰裝置之間或在單一裝置上的 該閘極結構的端部周圍。
可能引起關注的另一個領域是關於形成所謂的自對準接點。一般製造流程用於形成這類接點時涉及到於二氧化矽層中形成開口,其被認為是停在氮化矽閘極帽層和氮化矽側壁間隔層(形成用來保護該閘極材料)上。不幸地,在該接觸開口蝕刻的製程期間有消耗太多該閘極帽層及/或該側壁間隔層的風險,可能導致該閘極材料曝光。當該接觸點是形成在該接觸開口內時,由於失去該帽和/或間隔材料,會有機會創造出接觸點到閘極的電性短路。
第1B圖是一示例性電晶體裝置(可能是平面或鰭式場效電晶體裝置)的簡易平面圖。如圖所示,該電晶體由源極和汲極區組成,它們形成在由絕緣材料,通常是二氧化矽,所包圍的主動區中。該裝置的該閘極結構("閘極")在該主動區上方形成並且一或多個側壁間隔層使用各種已知的製程技術相鄰於該閘極結構形成。通常該間隔層是藉由、沉積間隔材料層,例如氮化矽來形成,且在那之後進行非等向性蝕刻製程。注意該間隔層時是圍繞著該閘極結構的整個周圍來形成,而該間隔層是有意地在該閘極結構周邊週邊的所有位置形成一實質均勻的厚度。
本發明是涉及利用具有複數個溝槽形成於其中的材料層來形成半導體裝置的各種方法以及所得到的半導體裝置,其可避免或至少降低上述提及的一個或多個問題的影響。
下面給出本發明的簡要概述以提供對於本發明的一些方面的基本理解。該概述並非本發明的詳盡流覽。它並非意在標識本發明的關鍵或重要元件或是描述本發明的範圍。其唯一目的是以簡化形式來呈現一些概念作為開端,以對後續討論做更詳盡描述。
一般來說,本發明是涉及利用具有複數個溝槽形成於其中的材料層來形成半導體裝置的各種方法及所得到的半導體裝置。本發明的一示例性方法包括,除其他之外,在半導體基板的至少一個完整的主動區上方形成材料層,在該主動區上方的材料層中形成複數個側向間隔開的源極/汲極溝槽,進行至少一道製程運作通過該間隔開的源極/汲極溝槽以形成該裝置的摻雜源極/汲極區,在形成該裝置的該摻雜源極/汲極區之後,形成閘極溝槽,其至少部分於該材料層中的該側向間隔開的源極/汲極溝槽之間的該材料層中,其中,部分的該材料層保留位在該源極/汲極溝槽與該閘極溝槽之間,在該閘極溝槽內形成閘極結構,以及在位於該閘極溝槽內的該閘極結構的上方形成閘極帽層。
本發明的另一示例性的方法包括,除其他之外,在半導體基板的至少一個完整的主動區上方形成材料層,在該主動區上方的材料層中形成複數個側向間隔開的源極/汲極溝槽,進行至少一道製程運作通過該間隔開的源極/汲極溝槽以形成該裝置的摻雜源極/汲極區,在形成 該裝置的該摻雜源極/汲極區之後,形成閘極溝槽,其至少部分於該材料層中的該間隔開的源極/汲極溝槽之間的該材料層中,其中,部分的該材料層保留位在該源極/汲極溝槽與該閘極溝槽之間,在該閘極溝槽內形成閘極結構,在位於該閘極溝槽內的該閘極結構上方形成閘極帽層,以及在形成該閘極帽層之後,在各該源極/汲極溝槽內形成導電源極/汲極接觸結構。
本發明的又一個另外的示例性方法包括,除其他之外,在半導體基板的至少一個完整的主動區上方形成材料層,在該主動區上方的材料層中形成複數個側向間隔開的源極/汲極溝槽,進行至少一道製程運作通過該間隔開的源極/汲極溝槽以形成該裝置的摻雜源極/汲極區,在形成該裝置的該摻雜源極/汲極區之後,在各該源極/汲極溝槽內形成導電源極/汲極接觸結構,在形成該導電源極/汲極接觸結構之後,形成閘極溝槽,其至少部分於該材料層中的該間隔開的源極/汲極溝槽之間的該材料層中,其中,部分的該材料層保留位在該源極/汲極溝槽與該閘極溝槽之間,在該閘極溝槽內形成閘極結構,以及在位於該閘極溝槽內的該閘極結構上方形成閘極帽層。
本發明的又一個另外的示例性方法包括,除其他之外,在半導體基板的至少一個完整的主動區上方形成材料層,在該主動區上方的材料層中形成複數個側向間隔開的源極/汲極溝槽,進行至少一道製程運作通過該間隔開的源極/汲極溝槽以形成該裝置的摻雜源極/汲極區, 在該材料層中的該間隔開的源極/汲極溝槽之間的該材料層中形成閘極溝槽,從而定義該材料層的剩餘部分位於該源極/汲極溝槽與該閘極溝槽之間的該主動區上方,其中,在該主動區上方的該材料層的該剩餘部分的上表面在該裝置的閘極長度方向具有實質上相同的寬度,在該閘極溝槽內形成閘極結構,以及在各該源極/汲極溝槽內形成導電源極/汲極接觸結構。
本發明的一示例性裝置包括,除其他之外,定義在半導體基板中的主動區,位於該基板上方的材料層,形成在該主動區上方的該材料層中的複數個側向間隔開的源極/汲極溝槽,形成在各該源極/汲極溝槽內的導電源極/汲極接觸結構,至少部分形成於該材料層中的該間隔開的源極/汲極溝槽之間的該材料層中的閘極溝槽,其中,部分的該材料層保留位在該源極/汲極溝槽與該閘極溝槽之間,位在該閘極溝槽內的閘極結構,以及位在該閘極結構上方的閘極帽層。
本發明的另一示例性裝置包括,除其他之外,定義在半導體基板中的主動區,位於該基板上方的材料層,形成在該主動區上方的該材料層中的複數個側向間隔開的源極/汲極溝槽,形成在各該源極/汲極溝槽內的導電源極/汲極接觸結構,至少部分形成於該材料層中的該間隔開的源極/汲極溝槽之間的該材料層中的閘極溝槽,其中,該材料層的第一部分保留位在該主動區上方的該源極/汲極溝槽與該閘極溝槽之間,且該材料層的第二部分保留 位在該主動區外的該源極/汲極溝槽與該閘極溝槽之間,其中,該材料層的該第二部分於該裝置的閘極寬度方向比該材料層的該第一部分更厚,以及位在該閘極溝槽內的閘極結構,其中,該閘極結構的側壁的第一部分位在相鄰於該材料層的該第一部分,而該閘極結構的側壁的第二部分位在相鄰於該材料層的該第二部分。
100‧‧‧示例性裝置
102‧‧‧半導體基板
102A、102B‧‧‧主動區
102S、106U、108S、112U、118U、124S、128S、140U、146U‧‧‧上表面
104‧‧‧溝槽
106‧‧‧鰭部
106C‧‧‧裝置通道區
106D‧‧‧摻雜區
108‧‧‧絕緣材料
110‧‧‧蝕刻停止層
112、112A、112B、112C、112D‧‧‧材料層
112X‧‧‧材料、間隔層、剩餘部分、間隔物部分
112Y‧‧‧厚度
113‧‧‧整體距離長度
115‧‧‧虛線、額外封裝材料
116‧‧‧絕緣材料層
117‧‧‧閘極遮罩層
117W‧‧‧橫向寬度
118‧‧‧犧牲材料
120‧‧‧圖案化帽層
121‧‧‧虛線
122‧‧‧側壁間隔層
124、132、134‧‧‧犧牲材料層
124A、124B‧‧‧開口
126‧‧‧溝槽
128‧‧‧磊晶材料
130‧‧‧犧牲蝕刻停止層
131D、131S‧‧‧源極與汲極接觸外殼結構
131G、133G‧‧‧閘極外殼結構
136‧‧‧閘極溝槽
138‧‧‧角度
140‧‧‧閘極結構
142‧‧‧閘極帽層
144‧‧‧接觸開口
146‧‧‧導電源極/汲極接觸點、導電結構
A‧‧‧鰭式場效電晶體半導體裝置
B‧‧‧半導體基板
C‧‧‧鰭部
D‧‧‧閘極結構
E‧‧‧側壁間隔層
F‧‧‧閘極帽
H‧‧‧高度
L‧‧‧軸向長度
W‧‧‧寬度
本發明可藉由參考下文詳述併合附圖來理解,其中相同的元件符號標示相同元件,且其中:第1A圖為現有技術的鰭式場效電晶體裝置的一示例性實施例的透視圖;第1B圖為一示例性的現有技術的電晶體裝置的平面圖;第2A圖至第2R圖顯示本發明揭露的利用具有複數個溝槽形成於其中的材料層形成半導體裝置的示例性方法及所得到的半導體裝置;第3A圖至第3G圖顯示本發明揭露的利用具有複數個溝槽形成於其中的材料層形成半導體裝置的另一示例性方法及所得到的半導體裝置;以及第4A圖至第4C圖顯示本發明揭露的各種裝置的示例性範例的平面圖。
儘管本文所公開的主題易受到各種修改和替代形式,其具體實施例已經藉由圖中示例示出並在本文中詳細說明。然而,應當理解的是,本文所述的具體實施例並不是 意在限制本發明於所公開的特定型式,相反的是,其意圖是在於涵蓋所有落入藉由如所附申請專利範圍限定的本發明的精神與範圍內的修改、等同物、以及替代物。
下文詳述本發明的各種示例性實施例。為了清楚起見,並不是實際實施例的所有特徵都有在本說明書中描述。應當理解在任何這種實施例子的發展中,許多實施方式的特定決定必須用以實現開發者的特定目標,例如符合與系統相關的和商業相關的限制,這將從一個實施例到另一個實施例改變。此外,應當理解這種開發的努力可能是複雜且費時的,但是對於受益於本發明的本領域技術人士仍然是例行任務。
本發明主題將會參照附圖已進行說明。在附圖中所揭露的各種結構、系統和裝置僅為說明之用,以便不至於模糊本發明中為本領域技術人士所知的細節。儘管如此,該附圖是被用來描述與解釋本發明的示例性範例。本文所用的字詞與片語應當被理解和解釋為符合那些相關領域技術人士對那些字詞與片語的理解的含義。詞語或片語沒有特殊的定義,即不同於如那些本領域技術人士所理解的一般和習慣的含義,意在本文中一致使用的詞語或片語來暗示。對於該詞語或片語具有特別含義的範圍內,即超出現有技術人士所理解的含義,這樣的特殊定義將會以定義的方式明確規定在說明書中,以直接而清楚地提供該詞語或片語其特殊含義。
本發明一般是涉及利用具有複數個溝槽形成於其中的材料層來形成半導體裝置的各種方法及所得到的半導體裝置。此外,如本領域技術人士在完整閱讀完本說明書後所顯而易見的,本方法適用於各種裝置,包括但不限於邏輯裝置、儲存裝置等,且本文所公開的方法可用於形成N型或P型半導體裝置。本文所公開的方法和裝置可用於利用各種技術製造產品,例如NMOS、PMOS、CMOS等,且它們可用於製造出各種不同裝置,例如儲存裝置、邏輯裝置、ASIC等。參照附圖,本文所公開的方法與裝置的各種示例性實施例現將更詳細地描述。
在一實施例中,示例性裝置100將在半導體基板102之中與上方形成,具有堆積結構。裝置100可以是NMOS或PMOS電晶體。另外,各種摻雜區,例如源極/汲極區、暈植入區、阱區域等,皆沒有在該附圖中示出。基板102可由矽或矽以外的材料製成。因此,該詞語"基板"或"半導體基板"應被理解為涵蓋所有半導體材料及這類材料的所有形態。
如同本領域技術人士在完整閱讀完本說明書後所理解的,本文所公開的方法和結構可於形成平面或三維電晶體裝置時使用。在一三維形式的示例性裝置100中,鰭式場效電晶體裝置100將被描述以便於揭露本文中詳述的主題。另外,各種摻雜區,例如源極/汲極區、暈植入區、阱區域等,都沒有在該附圖中示出。當然,本文所公開的發明不應被認為是受限於在本文中所描繪及敍述的 示例性範例。此外,在該附圖中所示出的電晶體裝置可以是NMOS或PMOS裝置。本文所揭露的裝置100的各種元件和結構可用各種材料層及藉由進行各種已知技術,例如化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、熱生長製程、旋轉塗布技術等來形成。這些各種材料層的厚度也可依據特定應用而變化。
在一般情況下,隨後附圖包含一簡要的平面圖及各種截面圖。如僅於第2A圖的右上角示出的簡要平面圖,視圖"X-X"是通過裝置100的源極/汲極區在裝置100的閘極寬度方向所截取的截面圖,而視圖"Y-Y"是通過一示例性鰭部的長軸截取,即沿著該鰭部的長軸通過閘極結構在電晶體裝置的閘極長度方向(電流輸送方向)的截面圖。
在第2A圖中顯示的製造點,可於基板102中形成隔離材料(未示出)以定義出在基板102中的示例性的間隔開的主動區102A、102B。間隔開的主動區102A-B僅在附圖中的平面圖示出,而該截面圖顯示出形成於各該主動區102A-B上方的示例性裝置的製造。該隔離區可用傳統技術形成,例如,傳統的淺溝槽隔離區可在基板102中形成。在鰭式場效電晶體裝置的例子中,該隔離區可在將如下文更加詳述形成的該鰭式結構形成之前或之後形成。在第2A圖中顯示的製造點,基板102具有露出的上表面102S。僅供參考,裝置100的閘極結構和鰭部的大致位置示於第2A圖中的虛線。
第2B圖示出了進行幾道另外的製程運作之後的裝置100。首先,一或多道的蝕刻製程,例如非等向性蝕刻製程,是通過圖案化的蝕刻遮罩(未示出)進行以定義複數個形成鰭部的溝槽104於基板102中。溝槽104的形成導致複數個初始鰭部結構106的形成。此後,絕緣材料108的凹層,像是二氧化矽層,在鰭部106之間的溝槽104中形成。在一示例性的實施例中,絕緣材料108的凹層可藉由覆蓋式沉積絕緣材料的初始層橫跨該裝置來形成,以致過度填充溝槽104。此後,可進行選擇性的化學機械研磨(CMP)製程以平坦化材料層108的上表面。然後,可在絕緣材料層上進行定時的凹陷回蝕製程以把絕緣材料層108的上表面108S凹進到所期望的高度水準,從而在凹陷表面108S上方露出鰭部106的期望量值。材料層108可包括各種不同的材料,像是二氧化矽等,且它可藉由進行各種技術,例如化學氣相沉積(CVD)等來形成。
鰭部結構106的寬度和高度以及溝槽104的深度可依據特定應用而變化。此外,形成鰭部的溝槽104和鰭部106的整體尺寸、形狀和構造可依據特定應用而變化。在附圖中示出的示例性例子,示出的形成鰭部的溝槽104和鰭部106具有均勻的尺寸和形狀。然而,形成鰭部的溝槽104和鰭部106的尺寸及形狀其這樣的均勻性不需要在本發明的至少一些方面中實施。在附圖中,形成鰭部的溝槽104被描繪為已經藉由進行非等向性蝕刻製程而形成,導致形成鰭部的溝槽104,其具示意性地描繪,通常 為矩形構造。在實際的真實世界裝置,形成鰭部的溝槽104的側壁可稍微向內漸縮,儘管該構造沒有在附圖中示出。在一些情況下,形成鰭部的溝槽104可具有折返輪廓(未示出)在形成鰭部的溝槽104底部的附近。在某種程度上,形成鰭部的溝槽104可藉由進行濕蝕刻製程來形成,形成鰭部的溝槽104可傾向於具有更圓的構造或非線性的構造,對比藉由進行非等向性蝕刻製程來形成的形成鰭部的溝槽104的一般性矩形構造。因此,形成鰭部的溝槽104的尺寸及構造,以及它們的製成方式,還有鰭部106的一般性構造,不應被認為是限制本發明。為了便於公開的目的,僅有實質矩形的形成鰭部的溝槽104和鰭部106將在隨後的附圖中描繪。此外,裝置100可以與鰭部106的任何期望數量來形成。
第2C圖顯示形成共形蝕刻停止層110之後以及覆蓋式沉積材料層112橫跨裝置100之後的裝置100。材料層112可依據特定應用而由各種不同材料組成。在一示例性實施例中,材料層112可由低k值氮化矽材料,像是矽-碳-硼-氮化物(SiBxCyN)、氮化矽(SiN)、具有介電常數小於或等於7的材料等組成。材料層112可形成為任何所期望的厚度。蝕刻停止層110可由各種材料組成,像是二氧化矽、氧化鉿等。
第2D圖為一平面圖,用以解釋材料層112如何在不同應用中形成。在第2D圖左手邊所示的例子,材料層112是覆蓋式沉積在基板102的整個表面上方,以 便覆蓋定義於晶圓中的所有主動區,包括示於第2D圖左邊的四個示例性間隔開的主動區102A-D。在第2D圖的右手邊示出的例子,材料層112形成到四個示例性的且獨立的材料層112A-D,其每個分別位在間隔開的主動區102A-D上方。在第2D圖右手邊示出的例子中,另一絕緣材料層層116形成在材料層112A-D的間隔開區之間。例如,絕緣材料層116相對於材料層112的材料可包括低k值材料或高k值材料,如果需要的話。在第2D圖右手邊示出的佈置可藉由沉積材料層110、112來製造出,進行一或多道蝕刻製程通過遮罩層(未示出)以圖案化材料112的覆蓋式沉積層,然後在定義於材料層112A-D的間隔開區之間的溝槽中形成絕緣材料層116。在稍後的應用例子,材料層112A-D的間隔開區應為一尺寸,使得它們超出具有約3-10奈米的距離112X的底層主動區邊緣。當然,當從上方俯視時,主動區102A-D不需具有方形構造,即它們可以具有矩形構造。其餘的附圖將以形成如第2D圖左手邊所示的材料層112的實施例為準。
第2E圖顯示在進行幾道製程運作之後的裝置。首先,閘極遮罩層117在裝置100上方形成。閘極遮罩層117一般包括圖案化的犧牲材料118(例如,非晶矽)、側壁間隔層122(例如,氮化矽)和閘極帽層120(例如,二氧化矽)。在第2E圖中示出的閘極遮罩層117藉由覆蓋沉積犧牲材料層118來形成,對應閘極帽層120的覆蓋沉積材料,然後,進行一或多道非等向性蝕刻製程通過圖案化遮 罩(未示出)以定義犧牲材料118的圖案化層,以及位於上方的圖案化帽層120。接著,側壁間隔層122藉由一致地沉積間隔物材料層來形成,之後,進行非等向性蝕刻製程以定義側壁間隔層122。如本領域技術人士在完整閱讀本說明書之後將能理解的是,閘極遮罩層117可具有橫向寬度117W(對應裝置100的閘極長度(電流輸送)的方向),其等於裝置100的閘極結構的目標橫向寬度加上約2倍的該裝置的"間隔層"的最終期望寬度,將位在相鄰於該閘極結構以及該裝置之源極/汲極區之間。如將從下文討論中清楚的是,"間隔層"實際上是初始材料層112的一部分。
第2F圖顯示在進行幾道製程運作之後的裝置100。首先,在一實施例中,犧牲材料層124,例如無定形矽,被覆蓋沉積到整個裝置100。之後,進行CMP製程以平坦化犧牲材料層124的上表面124S與帽層120的上表面120S。
第2G圖顯示圖案化犧牲材料層124之後的裝置100,圖案化是藉由進行蝕刻製程通過圖案化蝕刻遮罩(未示出),例如圖案化光阻蝕刻遮罩,以定義複數個開口124A、124B於犧牲材料層124中。一般來說,開口124A-B各具有構造對應於底層主動區102A-B(分別)加上閘極遮罩層117延伸出主動區的邊緣的部分。第2G圖的右上部的平面圖僅是已圖案化後的犧牲材料層124的平面圖。如圖所示,該蝕刻製程露出材料層112,其位在將變成裝置100的源極/汲極區之物的上方。
第2H圖顯示在進行非等向性蝕刻製程通過在圖案化的犧牲材料層124中開口124A-B之後,以定義材料層112中的源極/汲極開口或溝槽126的裝置100。該蝕刻製程停在蝕刻停止層110,從而露出蝕刻停止層110以便進一步處理。該蝕刻製程相對於間隔層122和閘極帽層120也為選擇性。注意,由於非等向性蝕刻製程的特性,源極/汲極溝槽126的側壁巷內漸縮成某一角度。第2H圖的右上部分的平面圖只是已形成四個示例性源極/汲極溝槽126延伸經過材料層112的材料層112的平面圖。底層的蝕刻停止層110未示於右上方的平面圖。
第2I圖顯示了藉由進行相對周圍材料的選擇性蝕刻製程而移除犧牲材料層124的裝置100。
第2J圖顯示了進行幾道額外的製程運作之後的裝置100。首先,蝕刻停止層100所露出的部分藉由進行蝕刻製程通過材料層112中的源極/汲極溝槽126來被移除。該蝕刻製程露出鰭部106。下一道製程運作包含引入摻雜材料、N型或P型,進入到該主動區的露出部分,從而形成裝置100的源極/汲極區。在一示例性實施例中,進行共形摻雜製程以形成高度摻雜區106D於鰭部106未被材料層112覆蓋的部分中。作為一個例子,可進行如現有技術文章,題目"鰭式場效電晶體之共形摻雜與藉由新穎的B2/H6/He自我調節電漿摻雜製程製造出之平面場效電晶體的精確控制淺摻雜(Conformal Doping of FinFETs and Precise Controllable Shallow Doping for Planar FET Manufacturing by a Novel B2/H6/Helium Self-Regulatory Plasma Doping Process)",由佐佐木(Sasaki)等人提出,所述的共形摻雜製程,在此通過引用以將整體併入本文。在摻雜物被摻入鰭部106之後,進行熱處理製程以啟動該摻雜材料以及修復鰭部106的晶體結構的任何損傷。在一示例性實施例中,這樣的熱處理製程可以是快速熱退火製程,其於溫度落在約900-1050℃的範圍之中,持續約5-60秒時間下進行。注意,在該熱處理製程期間,該摻雜材料將遷移朝向將變成該裝置通道區106C之物,僅管這樣的摻雜劑遷移未在附圖中示出。可替代地,而不是進行上述的共形摻雜製程,可形成磊晶半導體材料在源極/汲極區中的鰭部(不論是凹陷或未凹陷)上。該磊晶材料可被原位摻雜,或者它可以通過進行後離子植入製程來被摻雜。
第2K圖顯示了在源極/汲極溝槽126內的鰭部106上形成磊晶半導體材料128(例如,磊晶矽)的選擇層之後的裝置100。磊晶材料128的厚度可依據特定應用來變化。注意,由於磊晶材料128是形成在由源極/汲極溝槽126定義的密閉空間之中,磊晶材料128將不會生長在不期望的地點,當在使用現有製造技術時是一個問題。例如,藉由在源極/汲極溝槽126中形成磊晶材料128,磊晶材料128將不會生長及接觸生長於相鄰主動區的磊晶材料。當然,磊晶材料128不需在所有應用中形成。如果需要的話,此時可在製造流程用傳統製造技術於磊晶材料128或鰭部106的頂端(如果沒形成磊晶材料128)形成金屬矽化物區 (未示出)。
繼續參考第2K圖,下一道製程運作包括在裝置100上方形成共形犧牲蝕刻停止層130和另一犧牲材料層132(由覆蓋沉積形成)。此後,進行CMP製程以平坦化犧牲材料層132的上表面,以及帽層120的上表面120S。犧牲蝕刻停止層130可由各種材料組成,像是二氧化矽、氧化鉿等。犧牲材料層132也可由各種不同的材料組成,例如無定形矽、多晶矽等。注意,沒有試圖在簡要的平面圖中顯示出犧牲蝕刻停止層130。
第2L圖顯示了進行幾道製程運作之後的裝置100。首先,凹陷犧牲層132使得它的上表面位於材料層112的上表面112U之下。然後,犧牲材料134的另一層,例如二氧化矽,被覆蓋式沉積橫跨裝置100。接著,進行一或多道CMP製程以平坦化犧牲材料134的上表面,從而移除帽層120並露出閘極遮罩層117的犧牲材料118的上表面118U。
第2M圖顯示了藉由進行蝕刻製程以移除犧牲材料118之後的裝置100。該蝕刻製程露出在間隔層122之間的區域中的材料層112。
第2N圖顯示了進行幾道製程運作之後的裝置100。首先,進行非等向性蝕刻製程通過閘極遮罩117的間隔層122之間的開口,以在材料層112中定義出閘極溝槽136。該非等向性蝕刻製程停在蝕刻停止層110,從而露出蝕刻停止層110以便進一步處理。接著,進行蝕刻製 程以移除蝕刻停止層110所露出的部分。在即將變成裝置100的通道區106C的區域中,蝕刻停止層110的移除露出閘極溝槽136內的鰭部106的上表面106U。注意,由於非等向性蝕刻製程的特性,閘極溝槽136的側壁向內漸縮到某一角度,如角度138所反映出,其可約為89-86度。閘極溝槽136的錐形側壁將使得形成裝置的閘極結構更容易,並減少在閘極結構中形成不希望的空隙的機會。在第2N圖的右上部的平面圖是僅為已延伸通過材料層112而形成四個示例性源極/汲極溝槽126與兩個示例性閘極溝槽136的材料層112的平面圖。由這些溝槽126、136所露出的底層材料未在右上平面圖中示出。位在其間的源極/汲極溝槽126與閘極溝槽136之間的材料層112的剩餘部分112X,將作為絕緣"間隔物"材料以形成裝置100的閘極結構、源極/汲極磊晶材料128(若有形成的話),以及將形成為裝置100的導電源極/汲極接觸點,如在下文中更充分的討論。在一示例性實施例中,在材料112X的頂部表面的材料112X的厚度可約為5-15奈米。注意使用本文公開的方法中,在將形成於閘極溝槽136中的閘極結構兩邊,間隔層112X將始終具有相同的近似厚度。這是因為在閘極遮罩層117中的犧牲材料118的形成,以及源極/汲極溝槽126與閘極遮罩層117形成作為蝕刻遮罩的事實。
當然,在實施例中,其中獨立的材料層112分別位在單獨的主動區上方,如第2D圖右側所示,可在材料層112以及形成於材料層112間隔區之間的絕緣材料 層116中形成閘極溝槽136。在這種情況下,材料層112定義了幾乎所有的閘極溝槽136的長側壁,而絕緣材料116定義閘極溝槽136的其餘側壁。因此,如本文和權利要求書中所使用的術語"閘極溝槽"應當理解為具有幾乎所有的至少為長側壁的溝槽,由材料層112所定義。當然,在一些應用中,閘極溝槽136的所有四個側壁可由材料層112所定義。
第2O圖顯示了在閘極溝槽136中形成示例性及示意性描繪的閘極結構140之後的裝置100。文中所示的閘極結構140意在表示於製造積體電路產品時可採用任何類型的閘極結構的特性。通常,在形成將變成閘極結構140的一部分的各種材料層之前,將進行預清洗製程以企圖從閘極溝槽136內移除所有異物材料。例如,可藉由在閘極溝槽136中及材料層134上方實質沉積的閘極結構材料而形成閘極結構140,進行CMP製程以移除材料層134上方的多餘材料,接著進行回蝕凹陷蝕刻製程,使得閘極結構140的上表面140U在所需的高度水準。作為一個具體的例子,高k值(k值大於10)閘極絕緣層(未示出),像是氧化鉿,可沉積橫跨裝置100和在閘極溝槽136內,在藉由進行共形沉積製程(即ALD或CLD沉積製程)的閘極溝槽136所露出的鰭部106的部分上。如果需要,可在形成高k值閘極絕緣層之前,形成二氧化矽薄介面層(未示出)。接著,可在高k值閘極絕緣層上和閘極溝槽136內藉由進行共形ALD或CVD沉積製程,來沉積至少一層功函數調節 金屬層(未示出)(例如,氮化鈦層或TiAlC,取決於製造的電晶體裝置的類型)。當然,可在閘極溝槽136中形成更多層功函數金屬,取決於在建構時的特定裝置。然後,堆疊的導電材料,像是鎢或鋁,可在功函數調節金屬層上方的閘極溝槽136中沉積。之後,進行一或多道的CMP製程以移除位在犧牲材料層134的表面上方的各種材料層的多餘部分。接著,進行凹陷蝕刻製程以便移除閘極結構140材料的所需量,使得閘極結構140的上表面140U在閘極溝槽136內是處於所需高度水準。其他可能的材料用於閘極堆疊中的閘極絕緣層,包括但不限於氧化鉭(Ta2O5)、氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鈦(TiO2)、氧化鋁(Al2O3)、鉿矽酸鹽(HfSiOx)等。其他可能的材料用於功函數調整金屬層,包括但不限於鈦(Ti)、氮化鈦(TiN)、鈦鋁(TiAl)、鈦鋁碳(TiAlC),鋁(Al)、氮化鋁(AlN)、鉭(Ta)、氮化鉭(TaN)、碳化鉭(TaC)、鉭碳氮化物(TaCN)、鉭矽氮化物(TaSiN)、鉭矽(TaSi)等。
第2P圖顯示了在凹陷閘極結構140上方的閘極溝槽136中形成示例性閘極帽層142之後的裝置100。閘極帽層142可從各種不同材料中形成,例如通常是氮化矽。閘極帽層142可藉由沉積閘極帽材料層以便過度填充在閘極溝槽136中及在閘極結構140上方的間隔層122之間的凹部而形成,之後,進行一或多道的CMP製程以移除該閘極帽材料層位於犧牲材料層132的表面上方的多餘部分。該製程運作還移除犧牲材料層134。形成閘極帽層142 以便保護於隨後的製程運作期間的底層閘極結構140。
接著,如第2Q圖所示,進行一或多道的蝕刻製程以從源極/汲極溝槽126內移除犧牲材料132、130。在所示出的例子中,這些製程運作露出磊晶材料128的上表面128S且在源極/汲極溝槽126內的材料層112中定義出複數個源極/汲極接觸開口144。如果沒有形成磊晶材料128,這些製程運作會露出在源極/汲極溝槽126內的鰭部106。
第2R圖顯示了進行多道製程運作之後以在源極/汲極溝槽126內之接觸開口144中形成示意性描繪的導電源極/汲極接觸點146的裝置100。通常,形成這樣的導電結構146涉及到形成某些形式的金屬矽化物(未示出)以及一或多道共形的、導電的阻隔層或線性層(未示出)於接觸開口144中。在那之後,在該基板上方覆蓋沉積堆疊導電材料層,像是鎢或鋁,以便過度填充接觸開口144。然後,用閘極帽層142作為拋光停止層,進行CMP製程以移除該導電材料位在材料層112的表面上方的多餘部分。這些製程運作導致形成示於第2R圖中的導電結構146,被電耦接到形成在鰭部106中的該源極/汲極區。在此時的製造流程中,可進行傳統的製造流程以完成該裝置的製造,例如,各種金屬化層的形成等。
第3A圖至第3G圖顯示利用具有複數個溝槽形成於其中的材料層形成半導體裝置的另一示例性方法及其所得到的半導體裝置。相對於上述討論的前一實施 例,在這個實施例中,沒有磊晶材料128形成在該裝置的源極/汲極區中,且在形成閘極溝槽136於材料層112中之前,在源極/汲極溝槽126內形成導電源極/汲極接觸點146。
第3A圖顯示了對應第2J圖所示的裝置100,即已經在對應該裝置的該源極/汲極區(即,在所示例子中的鰭部106)的該主動區的部分形成摻雜區106D之後。如上所述,在本實施例中,將沒有磊晶材料128形成在鰭部106上。如前述,如果需要的話,金屬矽化物區(未示出)可於此時的製造流程中在鰭部106上形成。此外,如同前述,源極/汲極溝槽126的未填充部分構成源極/汲極接觸開口144。
第3B圖顯示了在源極/汲極溝槽126內的接觸開口144中形成上述的導電源極/汲極接觸點146的裝置100。然後,進行一或多道的CMP製程以移除該導電材料位在閘極帽層120的上表面的多餘部分,即是說閘極帽層120是作為拋光停止層。
第3C圖顯示了進行幾道製程運作之後的裝置100。首先,進行凹陷蝕刻製程以便凹陷導電源極/汲極接觸點146的上表面146U,使其低於源極/汲極溝槽126的上表面126U。接著,上述的犧牲材料層134被覆蓋沉積在該裝置上方,進行一或多道CMP製程以移除帽層120並露出閘極遮罩層117的犧牲材料118的上表面118U。
第3D圖顯示了藉由蝕刻製程來移除犧牲材料118之後的裝置100。該蝕刻製程露出在間隔層122之 間區域中的材料層112。
第3E圖描繪了進行幾道製程運作之後的裝置100。首先,進行非等向性蝕刻製程通過閘極遮罩117的間隔層122之間的開口,以定義出在材料層112中的上述閘極溝槽136。如同前述,該非等向性蝕刻製程停在蝕刻停止層110上,從而露出蝕刻停止層110以便進一步處理。接著,藉由進行蝕刻製程以移除蝕刻停止層110的露出部分。移除蝕刻停止層110會露出將變成裝置100的通道區106C的區域中的閘極溝槽136內鰭部106的上表面106U。如同前述,位在源極/汲極溝槽126與閘極溝槽136之間的材料層112的剩餘部分112X將作為其間的絕緣“間隔物”材料以形成裝置100的閘極結構以及導電源極/汲極接觸點146。
第3F圖顯示在閘極溝槽136中形成上述閘極結構140的裝置100。
第3G圖顯示在凹陷閘極結構140上方的閘極溝槽136中形成上述閘極帽層142之後的裝置100。犧牲材料層134也在進行CMP製程的期間被移除以形成閘極帽層142。在此時的製造流程上,可進行傳統的製造流程以完成裝置的製造,例如,各種金屬化層的形成等。
第4A圖至第4C圖顯示本文所揭露的各種裝置的示例性範例的平面圖。第4A圖是裝置100的平面圖,其中,材料層112是形成橫跨整個晶圓,如第2D圖左邊所示。如可在第4A圖中看出,材料層112的"間隔物" 部分112X沿著該閘極結構,對形成在該基板中的該主動區(在該裝置的閘極寬度方向)的整體距離長度113上,具有實質均勻的厚度112Y。在本例中,考慮到材料層112是形成橫跨整個晶圓,在該閘極結構延伸超出該主動區的位置,該閘極結構是由較大或較寬的材料層112保護。例如,任意的虛線115已被加到第4A圖以標示延伸超出該主動區的該閘極結構端部區是額外的封裝材料。額外封裝材料115相較於相鄰該閘極結構的較長側壁的"間隔物"112X的厚度112Y是明顯比較厚。繼續參考第4A圖和第2R圖,材料層112是連續的材料層,其分別具有形成於其中的間隔開的源極與汲極接觸外殼結構131S、131D,各者鄰接閘極結構140(經由間隔層112X)的側壁且橫向包圍其分別的導電源極/汲極接觸結構146以及鄰接並接合閘極結構140的端部表面的閘極外殼結構131G。在這個實施例,源極和汲極接觸外殼結構131S、131D在該裝置的閘極寬度方向鄰接閘極結構140的整個長側壁。
第4B圖是裝置100之平面圖,其中,各個位於單獨的主動區上方的獨立材料層112形成在該基板上方,如第2D圖右邊所示。在這種情況下,另一個絕緣材料層116在材料層112的間隔開的區之間形成。在第4B圖所示的例子中,閘極溝槽136整體在材料層112內形成。如在第4B圖中看到,如同前述,個別的、間隔開的材料層112的"間隔物"部分112X沿著該閘極結構,對在該主動區(在該裝置的閘極寬度方向)的整體距離長度113上,具 有實質均勻的厚度112Y。在這個例子中,考慮到材料層112是形成為不顯眼且個別的材料層,在該閘極結構延伸超出該主動區的位置,該閘極結構是由較大或較寬的材料層112保護。例如,任意的虛線117已被加到第4B圖以標示延伸超出該主動區的該閘極結構的端部區是額外的封裝材料。該額外的封裝材料相較於相鄰該閘極結構的較長側壁的"間隔物"112X的厚度112Y是明顯比較厚。繼續參考第4B圖和第2R圖,材料層112是單獨但局部的連續材料層,具有形成於其中的上述間隔開的源極與汲極接觸外殼結構131S、131D,以及閘極外殼結構131G。在這個實施例中,如同示於第4A圖中的實施例,源極和汲極接觸外殼結構131S、131D在該裝置的閘極寬度方向鄰接閘極結構140的整個長側壁。
第4C圖是裝置100的平面圖,其中,各個位於單獨的主動區上方的獨立材料層112形成在該基板上方,如第2D圖右邊所示。在這種情況下,另一個絕緣材料層116在材料層112的間隔開的區之間形成。在第4C圖所示的例子中,閘極溝槽136的形成使得它延伸超出材料層112且進到絕緣材料116,即是說,閘極溝槽136的幾乎所有的長側壁是由材料層112定義,而絕緣材料116定義閘極溝槽136的其餘部分。如可在第4C圖中看出,如同前述,該獨立且間隔開的材料層112的"間隔物"部分112X沿著該閘極結構,對在該主動區(在該裝置之閘極寬度方向)的整體距離長度113上,具有實質均勻的厚度 112Y。考慮到材料層112在這個例子中是形成為不顯眼且個別的材料層,在該閘極結構延伸超出該主動區的位置,該閘極結構是由較大或較寬的材料層112保護。例如,任意的虛線121已被加到第4C圖以標示延伸超出該主動區的該閘極結構端部區域是額外的封裝材料。額外的封裝材料121相較於相鄰該閘極結構的較長側壁的"間隔物"112X的厚度112Y是明顯比較厚。此外,在這特定的例子中,閘極結構的部分也可由絕緣材料層116的部分保護。繼續參考第4B圖和第2R圖,材料層112是非連續材料層,具有形成於其中的上述間隔開的源極與汲極接觸外殼結構131S、131D。如圖所示,在這個例子中,源極和汲極接觸外殼結構131S、131D被閘極結構140分間隔開。在這個實施例中,材料層112以及圍繞材料層112的絕緣材料116的組合部分是作為閘極外殼結構133G。在這個實施例中,源極和汲極接觸外殼結構131S、131D在該裝置的閘極寬度方向鄰接閘極結構140的長側壁的大部分,但非整體的長度。
應當被本領域技術人士在完整閱讀本說明書之後所理解的是,新穎的電晶體裝置已在本文揭露。更具體的是,本文所公開的裝置的一實施例包括,除其他之外,在半導體基板中定義出的主動區,位在至少該整個主動區上方的材料層112,在該主動區上方的材料層112中形成的複數個橫向間隔的源極/汲極溝槽126,在各源極/汲極溝槽126內形成的導電源極/汲極接觸結構146,在材 料層112中間隔開的源極/汲極溝槽126之間的材料層112中形成的閘極溝槽136,其中,材料層112的部分112X保留位在源極/汲極溝槽126與閘極溝槽136之間,閘極結構140位在閘極溝槽136內且閘極帽層142位在閘極結構140上方。在所示出的例子中,閘極結構140鄰接且接合閘極溝槽136的側壁,而各導電源極/汲極接觸結構鄰接且接合它對應的源極/汲極126的側壁。
上面公開的特定實施例僅是示例性的,因為本發明可以用對於受益於本文教示的本領域技術人士顯而易見的不同但等效的方式修改並實施。例如,可以用不同的順序執行上述的處理步驟。而且,沒有限制意圖構造或設計本文所示的細節,超過所附的申請專利範圍。因此很明顯的,以上公開的特定實施例可被替代或修改,並且所有這樣的變化都被認為在本發明的範圍和精神內。注意術語的使用,像是"第一"、"第二"、"第三"或"第四",在說明書中與在所附申請專利範圍中描述各種製程或結構,僅是用於速記參考這些步驟/結構,而且並不一定意味著這些步驟/結構要在有順序的次序中進行/形成。當然,這取決於確切的申請專利範圍語言,可以或可以不要求這樣有次序的過程。因此,本文所尋求的保護是如所附的申請專利範圍。
112‧‧‧材料層
112X‧‧‧材料、間隔層、剩餘部分、間隔物部分
112Y‧‧‧厚度
113‧‧‧整體距離長度
116‧‧‧絕緣材料層
121‧‧‧虛線
126‧‧‧溝槽
131D、131S‧‧‧源極與汲極接觸外殼結構
133G‧‧‧閘極外殼結構
136‧‧‧閘極溝槽
142‧‧‧閘極帽層

Claims (21)

  1. 一種裝置,包括:主動區,定義在半導體基板中;材料層,位在該基板上方;複數個側向間隔開的源極/汲極溝槽,形成於在該主動區上方的該材料層中;導電源極/汲極接觸結構,形成於各該源極/汲極溝槽內;閘極溝槽,至少部分形成於該材料層中該側向間隔開的源極/汲極溝槽之間的該材料層中,其中,部分的該材料料層保留位在該源極/汲極溝槽與該閘極溝槽之間;閘極結構,位於該閘極溝槽內;以及閘極帽層,位於該閘極結構上方。
  2. 如申請專利範圍第1項所述的裝置,其中,該裝置為鰭式場效電晶體裝置,以及其中,該主動區由至少一個鰭部組成。
  3. 如申請專利範圍第1項所述的裝置,其中,該裝置為平面裝置,以及其中,該主動區具有實質上均勻且平坦的上表面。
  4. 如申請專利範圍第1項所述的裝置,其中,該閘極結構由高k值閘極絕緣材料層以及位在該高k值閘極絕緣材料層上方的導電材料層組成。
  5. 如申請專利範圍第1項所述的裝置,還包括磊晶半導體 材料,位在該導電源極/汲極接觸結構之下該主動區上的該源極/汲極溝槽內。
  6. 如申請專利範圍第1項所述的裝置,其中,該材料層為具有等於或小於7的介電常數的材料。
  7. 如申請專利範圍第1項所述的裝置,還包括在該主動區中的摻雜源極/汲極區,係至少部分位在該源極/汲極溝槽下方。
  8. 如申請專利範圍第1項所述的裝置,其中,該閘極結構鄰接且接合該閘極溝槽的側壁。
  9. 如申請專利範圍第1項所述的裝置,其中,該導電源極/汲極接觸結構鄰接且接合該源極/汲極溝槽的側壁。
  10. 如申請專利範圍第8項所述的裝置,其中,該導電源極/汲極接觸結構鄰接且接合該源極/汲極溝槽的側壁。
  11. 如申請專利範圍第1項所述的裝置,其中,該閘極溝槽完全地形成於該材料層內。
  12. 一種裝置,包括:主動區,定義在半導體基板中;材料層,位在該基板上方;複數個側向間隔開的源極/汲極溝槽,形成於在該主動區上方的該材料層中;導電源極/汲極接觸結構,形成在各該源極/汲極溝槽內;閘極溝槽,至少部分形成於該材料層中的該側向間隔開的源極/汲極溝槽之間的該材料層中,其中,該材 料層的第一部分保留位在該主動區上方的該源極/汲極溝槽與該閘極溝槽之間,以及該材料層的第二部分保留位在該主動區外的該源極/汲極溝槽與該閘極溝槽之間,其中,該材料層的該第二部分於該裝置的閘極寬度方向比該材料層的該第一部分厚;以及閘極結構,位在該閘極溝槽內,其中,該閘極結構的側壁的第一部分位在相鄰於該材料層的該第一部分,以及該閘極結構的該側壁的第二部分位在相鄰於該材料層的該第二部分。
  13. 如申請專利範圍第12項所述的裝置,其中,該裝置為鰭式場效電晶體裝置,以及其中,該主動區由至少一個鰭部組成。
  14. 如申請專利範圍第12項所述的裝置,其中,該裝置為平面裝置,以及其中,該主動區具有實質均勻且平坦的上表面。
  15. 如申請專利範圍第12項所述的裝置,其中,該閘極溝槽完全地形成於該材料層內。
  16. 如申請專利範圍第15項所述的裝置,其中,該閘極結構的端部側壁位在相鄰於該材料層。
  17. 如申請專利範圍第12項所述的裝置,其中,該材料層為具有等於或小於7的介電常數的材料。
  18. 如申請專利範圍第12項所述的裝置,還包括在該主動區中的摻雜源極/汲極區,係至少部分位在該源極/汲極溝槽下方。
  19. 如申請專利範圍第12項所述的裝置,其中,該閘極結構鄰接且接合該閘極溝槽的側壁。
  20. 如申請專利範圍第12項所述的裝置,其中,該導電源極/汲極接觸結構鄰接且接合該源極/汲極溝槽的側壁。
  21. 如申請專利範圍第19項所述的裝置,其中,該導電源極/汲極接觸結構鄰接且接合該源極/汲極溝槽的側壁。
TW104109500A 2014-04-01 2015-03-25 Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material TWI563632B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/242,416 US9299781B2 (en) 2014-04-01 2014-04-01 Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material

Publications (2)

Publication Number Publication Date
TW201541611A true TW201541611A (zh) 2015-11-01
TWI563632B TWI563632B (en) 2016-12-21

Family

ID=54191512

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104109500A TWI563632B (en) 2014-04-01 2015-03-25 Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material

Country Status (3)

Country Link
US (1) US9299781B2 (zh)
CN (1) CN104979347B (zh)
TW (1) TWI563632B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567797B (zh) * 2015-12-31 2017-01-21 Shanghai Kingstone Semiconductor Joint Stock Ltd Company FinFET doping method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312388B2 (en) * 2014-05-01 2016-04-12 Globalfoundries Inc. Methods of forming epitaxial semiconductor material in trenches located above the source and drain regions of a semiconductor device
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9871042B2 (en) 2015-12-03 2018-01-16 Samsung Electronics Co., Ltd. Semiconductor device having fin-type patterns
US10014389B2 (en) * 2016-07-26 2018-07-03 Globalfoundries Inc. Methods of forming IC products comprising a nano-sheet device and a transistor device having first and second replacement gate structures
WO2018125035A1 (en) * 2016-12-27 2018-07-05 Intel Corporation Transistors including final source/drain material processed after replacement gate processing
US10103233B1 (en) * 2017-09-29 2018-10-16 Nxp Usa, Inc. Transistor die with drain via arrangement, and methods of manufacture thereof
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933183B2 (en) * 2003-12-09 2005-08-23 International Business Machines Corporation Selfaligned source/drain FinFET process flow
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
US7220647B2 (en) * 2005-02-02 2007-05-22 United Microelectronics Corp. Method of cleaning wafer and method of manufacturing gate structure
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070287256A1 (en) * 2006-06-07 2007-12-13 International Business Machines Corporation Contact scheme for FINFET structures with multiple FINs
KR100855834B1 (ko) * 2007-05-25 2008-09-01 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
CN102768957B (zh) 2011-05-06 2016-09-14 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
CN102956453B (zh) * 2011-08-19 2017-02-22 联华电子股份有限公司 半导体装置及其制作方法
WO2013095375A1 (en) * 2011-12-20 2013-06-27 Intel Corporation Iii-v layers for n-type and p-type mos source-drain contacts
US8936979B2 (en) * 2012-06-11 2015-01-20 GlobalFoundries, Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9029913B2 (en) * 2013-03-11 2015-05-12 International Business Machines Corporation Silicon-germanium fins and silicon fins on a bulk substrate
US9219062B2 (en) * 2013-05-24 2015-12-22 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567797B (zh) * 2015-12-31 2017-01-21 Shanghai Kingstone Semiconductor Joint Stock Ltd Company FinFET doping method

Also Published As

Publication number Publication date
CN104979347B (zh) 2018-04-20
TWI563632B (en) 2016-12-21
US20150279935A1 (en) 2015-10-01
US9299781B2 (en) 2016-03-29
CN104979347A (zh) 2015-10-14

Similar Documents

Publication Publication Date Title
US9953978B2 (en) Replacement gate structures for transistor devices
TWI559548B (zh) 在鰭式場效電晶體裝置上形成替代閘極結構和鰭部的方法及該產生的裝置
US9117908B2 (en) Methods of forming replacement gate structures for semiconductor devices and the resulting semiconductor products
TWI415263B (zh) 半導體裝置及其製造方法
TWI557903B (zh) 於鰭式場效電晶體半導體裝置上形成隔離材料之方法及其所產生之裝置
TWI584478B (zh) 半導體裝置及其製造方法
US9093302B2 (en) Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
US9171934B2 (en) Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US8809178B2 (en) Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US9142651B1 (en) Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
TW201541611A (zh) 具有接觸結構及閘極結構形成在材料層之溝槽中的半導體裝置
US9312388B2 (en) Methods of forming epitaxial semiconductor material in trenches located above the source and drain regions of a semiconductor device
US20160293706A1 (en) Finfet semiconductor devices with stressed channel regions
CN107017286B (zh) 半导体元件及其制造方法
TW201521120A (zh) 使用替代閘極技術來形成鰭式場效電晶體半導體裝置的方法及該產生的裝置
TW201511283A (zh) 於鰭式場效電晶體半導體設備上形成接觸結構的方法及其所產生的設備
TWI664732B (zh) 半導體結構及製程
KR20190057992A (ko) 수직 채널을 가지는 반도체 소자
TWI714583B (zh) 半導體裝置及其形成方法
TWI764045B (zh) 電晶體及其製造方法
US20160093713A1 (en) Semiconductor devices with replacement gate structures
TW201911386A (zh) 半導體元件及其製作方法
TWI504557B (zh) 調整奈米線結構之方法
TW201937727A (zh) 在升高隔離結構上形成閘極結構之方法