CN104916583B - 用于平坦凹进或去除可变高度层的barc辅助工艺 - Google Patents

用于平坦凹进或去除可变高度层的barc辅助工艺 Download PDF

Info

Publication number
CN104916583B
CN104916583B CN201410239159.9A CN201410239159A CN104916583B CN 104916583 B CN104916583 B CN 104916583B CN 201410239159 A CN201410239159 A CN 201410239159A CN 104916583 B CN104916583 B CN 104916583B
Authority
CN
China
Prior art keywords
barc
layer
antireflective coating
recessed
bottom antireflective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410239159.9A
Other languages
English (en)
Other versions
CN104916583A (zh
Inventor
刘文贵
蔡腾群
林国楹
李胜男
周有伟
连国成
林长生
洪志昌
卢永诚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104916583A publication Critical patent/CN104916583A/zh
Application granted granted Critical
Publication of CN104916583B publication Critical patent/CN104916583B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种IC器件制造工艺实现了材料的平坦凹进,该材料初始在衬底上的高度变化。方法包括:形成底部抗反射涂层(BARC),烘烤以在BARC中引发交联,CMP以去除BARC的第一部分并形成平坦表面,然后等离子体蚀刻以实现BARC的平坦凹进。等离子体蚀刻可以在BARC和凹进的材料之间具有低选择性,从而使BARC和材料同时凹进。去除特定高度之上的任何材料。可以保护基本上位于特定高度下方的结构不受污染并保持完整。当使用的磨料在CMP期间与BARC形成醚键时,该方法可以特别有效。本发明涉及用于平坦凹进或去除可变高度层的BARC辅助工艺。

Description

用于平坦凹进或去除可变高度层的BARC辅助工艺
技术领域
本发明涉及用于平坦凹进或去除可变高度层的BARC辅助工艺。
背景技术
本发明涉及集成电路(IC)器件制造工艺,尤其涉及替换栅极工艺。
为了提高器件的密度,多年的研究一直致力于降低半导体器件中的临界尺寸(CD)。本研究已经导致了对用高k电介质和金属栅极替换传统的栅极材料的长期需要。与相等厚度的二氧化硅相比,高k电介质可以提供增大的电容。具有合适的功函数的金属电极可以避免电极与高k电介质连接处附近的电荷载流子耗尽。用于P沟道和N沟道晶体管的电极通常需要不同的金属。
通过用于形成源极区和漏极区的处理可以不利地影响栅电极的合适的金属。具体而言,退火可以引起电极金属的功函数的不良转变。这已导致各种新工艺的发展,新工艺包括替换栅极(后栅极)工艺。在替换栅极工艺中,形成伪栅极堆叠件,其是使用多晶硅代替金属形成的栅极堆叠件。在形成源极区和漏极区之后,去除多晶硅以形成沟槽,然后用期望的金属填充沟槽。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种制造集成电路器件的方法,包括:
通过一系列操作处理半导体衬底以形成形貌可变表面,所述形貌可变表面包括位于所述表面上的材料的层,其中,所述层在所述衬底上的高度变化;在所述表面上方旋涂聚合物底部抗反射涂层(BARC);通过烘烤在所述BARC中引发交联;化学机械抛光以去除所述BARC的第一部分;以及蚀刻以实现所述BARC的自上而下凹进。
在上述方法中,其中,实现所述BARC的自上而下凹进的所述蚀刻是在所述BARC和所述材料之间具有低选择性并实现所述材料的层的自上而下凹进的蚀刻。
在上述方法中,其中,所述化学机械抛光停止在所述材料的层上。
在上述方法中,其中,实现所述BARC的自上而下凹进的所述蚀刻是在所述BARC和所述材料之间具有低选择性并实现所述材料的层的自上而下凹进的蚀刻,其中,所述蚀刻使所述BARC和所述材料的层凹进所述表面上的介电层内。
在上述方法中,其中,实现所述BARC的自上而下凹进的所述蚀刻是在所述BARC和所述材料之间具有低选择性并实现所述材料的层的自上而下凹进的蚀刻,其中,所述旋涂、所述化学机械抛光、和具有低选择性的所述蚀刻发生在替换栅极工艺内并形成所述替换栅极工艺的部分。
在上述方法中,其中,所述材料的层是硬掩模的层。
在上述方法中,其中,所述材料的层是形成金属栅电极的部分的功函数金属。
在上述方法中,其中,所述材料的层是形成金属栅电极的部分的功函数金属,其中,在一个或多个finFET鳍上方形成所述金属栅电极。
在上述方法中,其中,所述化学机械抛光包括用包含磨料粒子的料浆进行的抛光,所述磨料粒子包括选自由SiO2、Al2O3和CeO2组成的组中的材料。
在上述方法中,其中,所述化学机械抛光包括用包含磨料粒子的料浆进行的抛光,所述磨料粒子包括选自由SiO2、Al2O3和CeO2组成的组中的材料,其中,在所述化学机械抛光工艺期间,所述磨料粒子与BARC材料形成化学键。
在上述方法中,其中,所述化学机械抛光包括用包含磨料粒子的料浆进行的抛光,所述磨料粒子包括选自由SiO2、Al2O3和CeO2组成的组中的材料,其中,在所述化学机械抛光工艺期间,所述磨料粒子与BARC材料形成化学键,其中,所述磨料粒子接合至所述BARC材料的羟基基团。
在上述方法中,还包括:在实现所述BARC的自上而下凹进的所述蚀刻之后,实施在所述材料和所述BARC之间具有高选择性的蚀刻以使所述材料的层凹进。
根据本发明的另一方面,还提供了一种制造集成电路器件的方法,包括:在半导体衬底上方形成伪栅极堆叠件;在所述伪栅极堆叠件上方形成硬掩模;使用所述硬掩模图案化所述伪栅极堆叠件以形成伪栅极;形成邻近所述伪栅极的间隔件;形成与所述间隔件对准的源极和漏极;在所述伪栅极上方形成聚合物底部抗反射涂层(BARC),其中,所述BARC填充所述伪栅极之间的间隙;烘烤所述BARC;化学机械抛光以形成包括所述BARC的平坦上表面;等离子体蚀刻化学机械抛光的表面,其中,所述等离子体蚀刻使所述BARC凹进至大约所述伪栅极的高度;以及在去除所述BARC之前去除所述硬掩模。
在上述方法中,其中,所述化学机械抛光停止在所述硬掩模上。
在上述方法中,其中,所述化学机械抛光的表面的所述等离子体蚀刻去除了所述硬掩模。
在上述方法中,其中,所述化学机械抛光的表面的所述等离子体蚀刻在所述底部抗反射涂层和所述硬掩模之间具有低选择性。
根据本发明的又一方面,还提供了一种制造集成电路器件的方法,包括:在半导体衬底上形成具有伪栅极的finFET,所述伪栅极具有高度并环绕鳍;形成第一介电层,所述第一介电层覆盖所述半导体衬底,并且所述第一介电层的高度至少等于所述伪栅极的高度;去除所述伪栅极以形成位于所述第一介电层内的沟槽;在一些所述鳍的沟道区上方形成功函数金属层,其中,至少一些所述沟槽的长度的至少一部分以所述功函数金属层为内衬,从而所述功函数金属层在一些位置处上升至所述沟槽的顶部;形成聚合物底部抗反射涂层(BARC),其中,所述BARC位于所述功函数金属层之上并且填充所述沟槽;烘烤所述BARC;化学机械抛光以形成包括所述BARC的平坦上表面;以及在所述化学机械抛光之后,进行等离子体蚀刻,其中,所述等离子体蚀刻使所述BARC变为凹进在所述沟槽内。
在上述方法中,还包括:去除所述BARC;用金属填充所述沟槽;使所述金属凹进所述沟槽内;用额外的电介质填充所述沟槽;以及化学机械抛光以使所述额外的电介质与所述第一介电层处于大约相同的高度。
在上述方法中,还包括:去除所述BARC;用金属填充所述沟槽;使所述金属凹进所述沟槽内;用额外的电介质填充所述沟槽;以及化学机械抛光以使所述额外的电介质与所述第一介电层处于大约相同的高度,其中,所述等离子体蚀刻在所述BARC和所述功函数金属之间具有低选择性,所述等离子体蚀刻降低了所述功函数金属层到达的高度,并使所述功函数金属层变为凹进在所述沟槽内。
在上述方法中,还包括:在凹进的所述BARC上方形成掩模;通过所述掩模蚀刻以去除露出的所述BARC,其中,所述蚀刻从一些所述鳍上方去除所述BARC;等离子体蚀刻以从去除了所述BARC的所述鳍上方去除所述功函数金属层;以及去除所述BARC的剩余部分。
附图说明
当结合附图进行阅读时,从以下详细描述可以最佳理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的论述,各个部件的尺寸可以任意地增大或缩小。
图1是根据一些实施例的集成电路器件制造工艺的流程图。
图2至图6示出了经历根据图1示出的工艺的一些实施例的处理的部分地制造的集成电路器件。
图7是根据一些实施例的集成电路器件制造工艺的流程图。
图8至图13示出了经历根据图7示出的工艺的一些实施例的处理的部分地制造的集成电路器件。
图14是根据一些实施例的集成电路器件制造工艺的流程图。
图15至图39示出了经历根据图14示出的工艺的一些实施例的处理的部分地制造的集成电路器件。
具体实施方式
以下公开内容提供了用于实现本发明的不同特征的许多不同的实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,而且其本身不指示所论述的各个实施例和/或结构之间的关系。
另外,为便于描述,在此可以使用诸如“在…下面”、“在…下方”、“下”、“在…之上”、“上”等的空间相对术语,以描述如图中所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作相应的解释。
优化集成电路设计以有效利用芯片面积、最小化功率需求以及最大化速度,这通常导致设计特征为包括N沟道和P沟道的晶体管类型、标准电压和低电压、长沟道和短沟道的混合。这种类型混合以及在芯片表面上的图案密度的变化导致在集成电路器件制造过程中形成的各个材料层的厚度和高度的变化。在替换栅极工艺中,这些变化特别难以控制,在替换栅极工艺中,先前沉积的层被去除工艺凹进的高度或深度可以影响一些层的厚度。
本发明提供了实现衬底表面上方的形貌可变材料的平坦凹进的工艺。形貌可变的意思是在衬底上材料随高度而变化。在此使用的动词“凹进”的意思是通过去除外部或最上部而引起退回。凹进不需要材料低于另一种材料或缩进。平坦凹进的意思是使该材料凹进至大约平坦的表面,从而基本上去除平面之上的任何材料并且位于平面下方的任何材料基本上保持完整。在一些实施例中,去除是自上而下的,自上而下的意思是从衬底上方的最高处至最低处按顺序去除,其中去除的正面大约与朝向衬底表面下降的平面相对应。在一些实施例中,完全去除该材料。在一些实施例中,从另一种材料的表面去除该材料,该材料是易受到损害或通过化学机械抛光(CMP)成为非平面的材料。在一些实施例中,使形貌可变材料凹进至目标范围内的高度。在一些实施例中,使该材料凹进基本上不受凹进工艺影响的另一种材料内。在一些实施例中,同时使多种形貌可变材料凹进。
图1提供了根据本发明的一些实施例的示例工艺100。图2至图6示出了经历工艺100的示例集成电路器件200。工艺100包括步骤101,步骤101是产生如图2所示的形貌可变层207A和207B的初步处理。工艺100包括一系列的步骤110,步骤110形成均匀凹进的底部抗反射涂层(BARC)209并且实现层207A或层207B的平坦凹进或去除。
如图2所示,在衬底201、层203和层205上方形成层207(共同地,层207A和层207B)。层203是将被CMP损坏或在CMP下不能良好地平坦化的材料的实例。层205是基本上不受凹进处理110影响而可以保留的材料的实例,并且可以使层207凹进层205内。在一些实施例中,衬底201包括鳍247。
首先,层207A和层207B在衬底201上方的高度均为206A。在这个实例中,由线S-S'表示衬底201的高度,线S-S'在鳍247的基底处。应当理解,衬底201的高度仅仅是用于限定高度的参考平面,并且由线S-S'识别的该平面仅仅是合适的参考平面的一个实例。通过鳍247的顶部的平面也可以提供合适的参考平面。在大多数实施例中,衬底201是晶圆。通过晶圆的中心的平面或在处理的某一时刻的晶圆的面上的平面也可以提供合适的参考平面。
包括层207的器件200的表面具有间隙208。在一些实施例中,将被凹进的层207具有在衬底201上变化的图案密度。在一些实施例中,层207的图案密度随着高度连续地变化,或在层207的初始高度206A处的平面A-A'中的图案密度和层207的较低的、目标高度206B处的平面B-B'中的图案密度之间有多个阶梯。衬底201上图案密度的变化、图案密度随高度的变化以及间隙208是以平坦方式使层207凹进的挑战。由本发明提供的工艺适合于应对这些挑战。
层207可以是各种材料中的任意材料。在一些实施例中,层207是硬掩模材料。在一些实施例中,层207是金属。这些材料可以比一些其他材料更难以以平坦方式凹进。
如图3所示,处理110开始于步骤111,用聚合物底部抗反射涂层(BARC)209填充间隙208以及涂覆层207。在一些实施例中,通过用包含单体的溶液旋涂衬底201并引发聚合反应来形成BARC 209。在一些实施例中,形成的BARC 209的厚度在从的范围内。在一些实施例中,BARC 209填充间隙208中的至少98%的空间。
处理110继续进行步骤113,烘烤以使BARC 209交联。在大多数实施例中,在从100℃至500℃的温度范围内实施烘烤113。交联加强了BARC209,并且为CMP作准备。
处理110继续进行步骤115,CMP。在一些实施例中,CMP 115将BARC 209的厚度降低了如图4所示,在一些实施例中,CMP 115停止在平面D1-D1',平面D1-D1'在BARC 209内并且位于层207之上。在一些实施例中,CMP 115停止在与具有将凹进的材料的层207的顶部相对应的平面D2-D2'。在一些实施例中,CMP 115停止在与层205相对应的平面D3-D3',工艺100使层207凹进层205内。在一些实施例中,CMP 115停止在厚度介于的范围内的薄膜上。
CMP 115给器件200提供高度平坦的上表面218。同样地,通常期望通过CMP 115降低表面218直到达到实际限度。在一些实施例中,该限度避免对层205的损坏,层207将被凹进超过层205。在一些实施例中,该限度避免对下面的层203的损坏。
在一些实施例中,CMP 115在BARC 209和凹进的层207之间提供高选择性。高选择性在从10:1至5000:1的范围内。在CMP 115中对BARC 209的高选择性便于形成高度平坦的表面218。
在一些实施例中,CMP 115使用金属氧化物粒子的料浆。在一些实施例中,料浆是胶体。胶体包含非常小的粒子。非常小的粒子具有较高的表面体积比,较高的表面体积比便于化学反应。在一些实施例中,金属氧化物是SiO2、Al2O3、和CeO2中的一种。在一些实施例中,金属氧化物粒子与BARC 209中的羟基基团发生脱水反应并通过醚键接合至BARC 209。以这种方式反应和接合增大了用于去除BARC 209的CMP 115的选择性并增大了抛光速率。
在一些实施例中,CMP 115使用的工作台转速(table rotation speed)在从30rpm至110rpm的范围内。在一些实施例中,CMP 115使用的下向力在从0.5psi至5psi的范围内。在一些实施例中,CMP 115使用的料浆流速在从50毫升/分钟至500毫升/分钟的范围内。这些CMP条件可以便于形成高度平坦的表面218,在CMP 115期间维持BARC 209的完整性,以及维持高抛光速率。
如图5A和5B所示,处理110继续进行步骤117,蚀刻以使BARC 209凹进至目标高度206B。在一些实施例中,蚀刻117在BARC 209和层207之间具有低选择性。低选择性(BARC209对层207)在从1:5至10:1的范围内。在一些实施例中,蚀刻117在BARC 209和层207之间具有非常低的选择性。非常低的选择性在从1:2至2:1的范围内。在一些实施例中,蚀刻117使BARC 209和层207凹进至大约相同的程度,这是最理想的,并产生如图5A所示的结构。在一些实施例中,蚀刻117使BARC 209凹进得比层207更多,并产生图5B所示的结构。
在大多数实施例中,蚀刻117是干蚀刻。在一些实施例中,蚀刻117是具有包括H2和N2的源气体的等离子体蚀刻。在一些实施例中,等离子体蚀刻的部分特征是源气体中不含氟化合物。在一些实施例中,蚀刻117使用的源气体的流速在从5毫升/分钟至1000毫升/分钟的范围内。在一些实施例中,在从1毫托至100毫托的范围内的压力下实施蚀刻117。在一些实施例中,蚀刻117使用功率设置在从200W至5000W的范围内的等离子体源。在一些实施例中,蚀刻117使用的偏置功率高达500W。在一些实施例中,在衬底201的温度在从10℃至60℃的范围内的情况下实施蚀刻117。使用这些参数内的条件的等离子蚀刻可以提供在适当的高速率下进行的低选择性蚀刻。
在一些实施例中,蚀刻117将BARC 209的厚度降低了在一些实施例中,目标高度206B对应于位于一个或多个层207下面的层203的高度。在一些实施例中,目标高度206B对应于使层207在层205内凹进距离216。提供高度平坦的表面218的CMP 115和随后的低选择性蚀刻117的组合使BARC209均匀凹进至平面B-B'。有效去除了BARC 209的明显位于平面B-B'之上的部分。明显位于平面B-B'下方的形成部分器件200的BARC 209的部分和其他材料保持完整。在一些实施例中,蚀刻117也使层207均匀凹进至平面。
处理110可选择性地继续进行进一步处理以使层207凹进。这些选择与如图5B所示的结果相关并且等同于决策118。在需要额外的去除的一些实施例中,工艺110继续进行步骤120。步骤120是选择性蚀刻,其中,选择性是去除BARC 209上方的层207以产生如图5A所示的结构。对于蚀刻120而言,BARC 209掩蔽平面B-B'下方的结构。
在需要额外的去除的一些实施例中,工艺110通过重复步骤111至117而继续进行。在这些实施例中,通过每一次重复,层207变得进一步凹进并且更均匀地凹进。产生的结构从图5B的形式向着图5A的形式进展。
在一些实施例中,处理110继续进行步骤119,去除BARC 209以产生如图6所示的结构。步骤119是可选的。在一些实施例中,延缓去除BARC 209。可以通过任何合适的干蚀刻或湿蚀刻工艺去除BARC 209。
BARC 209可以稳定器件200的表面并且在CMP 115和蚀刻117期间从间隙208中排出污染物。BARC 209在蚀刻117的作用下可以均匀地凹进以形成用于蚀刻120的具有平坦的上表面的掩模。BARC 209是便于在CMP 115期间保持器件200的平坦的上表面的相对较硬的材料。
如在此所使用的术语,底部抗反射涂层(BARC)是IC器件制造业中公认为BARC的材料,一种用作光刻的BARC的高度交联的有机聚合物,或具有与在工业中被认为是BARC的有机聚合物类似的硬度和蚀刻敏感性的高度交联的有机聚合物。在一些实施例中,BARC 209是在工业中被认为是底部抗反射涂层的材料。在一些实施例中,BARC 209是以适合于旋涂到晶圆上的形式出售的材料。在一些实施例中,BARC 209是在光刻中用作底部抗反射涂层的材料。在一些实施例中,BARC 209是有机聚合物或共聚物。在一些实施例中,BARC 209易于高度交联。
在一些实施例中,BARC 209包括具有羟基基团的单体单元。在一些实施例中,BARC209包括当暴露于合适pH值的水中时,经历水合作用的单体单元。不饱和碳氢化合物通常易于水合。在一些实施例中,BARC 209包括具有烯烃、炔烃或芳族基团的单体单元。在一些实施例中,BARC 209包括酯、丙烯酸酯、或异氰酸酯单体。在一些实施例中,BARC 209是丙烯酸酯聚合物或共聚物。在一些实施例中,BARC 209包括芳族单体。在一些实施例中,BARC 209是苯乙烯聚合物或共聚物。具有羟基基团或能够通过水合反应得到羟基基团的BARC 209可以在CMP 115期间与氧化物磨料粒子发生脱水反应,通过醚键将BARC 209接合至磨料粒子。
在一些实施例中,工艺100是硬掩模去除工艺。在一些实施例中,硬掩模去除工艺从伪栅极堆叠件中去除用于图案化栅极的硬掩模。图7提供了根据本发明的一些实施例的实例,该实例是工艺100A。图8至图13示出了经历工艺100A的示例集成电路器件200A。工艺100A包括形成形貌可变的硬掩模219的一系列步骤101A和一系列步骤110A,步骤110A是先前描述的BARC辅助回蚀刻110的实施例。
一系列步骤101A包括:步骤121:在衬底201上方形成伪栅极堆叠件204,步骤123:在伪栅极堆叠件204上方形成硬掩模219,以及步骤125:图案化硬掩模219以提供如图8所示的结构。尽管图8至图13示出了用于形成传统晶体管的伪栅极的工艺100A,但是在一些实施例中,工艺100A用于形成finFET的伪栅极。如图8所示,伪栅极堆叠件204包括牺牲材料的层217。在一些实施例中,牺牲材料是多晶硅。在一些实施例中,伪栅极堆叠件204包括高k介电层213。在一些实施例中,形成的硬掩模219的厚度在从的范围内。在一些实施例中,硬掩模219是SiO2、SiN、SiC、SiCN、SiON、SiOCN中的一种或它们的组合。在这些实施例的一些实施例中,硬掩模219是SiO2、SiN、和SiCN中的一种,SiO2、SiN、和SiCN作为硬掩模材料是特别有用的。
如图9所示,一系列步骤101A继续进行步骤127:图案化伪栅极堆叠件204以形成伪栅极229,步骤129:形成间隔件215,以及步骤131:形成源极/漏极区211。在一些实施例中,通过离子注入形成源极/漏极区211。在一些实施例中,源极/漏极区域211是通过外延形成的凸起的源极/漏极区。
如图9所示,步骤127至步骤131降低硬掩模219的厚度210。在一些实施例中,步骤127至步骤131减薄硬掩模219直到它的最大厚度210A在小于初始厚度210的从的范围内。步骤127至步骤131也使硬掩模219在衬底201上的厚度210在最大厚度210A和最小厚度210B之间变化。这些变化起源于伪栅极229的沟道长度220的变化以及起源于衬底201上的伪栅极229的图案密度的变化。与区域202A相比,区域202B中的硬掩模219更薄,这是因为区域202A中的平均沟道长度220更短和因为由硬掩模219覆盖的衬底201的面积分数在区域202B中更低。在一些实施例中,处理101A使硬掩模219在最大厚度210A和最小厚度210B之间具有从的范围内的变化。
工艺100A继续进行一系列步骤110A,步骤110A是至少包括图1中所示的步骤111至117的BARC辅助回蚀刻110的实施例。如图10所示,在处理110A中,步骤111形成BARC 209。在处理110A的一些实施例中,BARC 209的厚度在从的范围内。处理110A包括烘烤113。在处理110A的一些实施例中,烘烤113是在从150℃至300℃的温度范围内实施的烘烤。
如图11所示,在处理110A中,CMP 115降低BARC 209的高度。在处理110A的一些实施例中,CMP 115将BARC 209的高度降低了如图11所示,在处理110A的一些实施例中,CMP 115停止在硬掩模219上。在处理110A的一些其他实施例中,CMP 115停止在恰好在硬掩模219的高度之上的BARC 209中。
在处理110A中,蚀刻117进一步降低BARC 209的高度。如图12所示,在一些实施例中,蚀刻117从牺牲层217去除硬掩模219。在一些实施例中,通过如图1中的决策118所示的进一步处理而从牺牲层217去除硬掩模219。在处理110A的一些实施例中,蚀刻117将BARC209的高度降低了在处理110A的一些实施例中,在衬底201的温度在从20℃至40℃的范围内的情况下发生蚀刻117。在处理110A的一些实施例中,蚀刻117的部分特征是等离子体源气体中不含CF4。利用CF4的等离子体蚀刻可以损坏多晶硅,多晶硅可以是用于牺牲层217的材料。
如图13所示,处理110A去除硬掩模219,同时保持伪栅极229的高度214。在一些实施例中,在处理110A之后的伪栅极229的高度214B是在处理110A之前的伪栅极229的高度214A的至少90%。在一些实施例中,在处理110A之后,伪栅极229的高度214B改变了伪栅极229的高度的10%以下。
在一些实施例中,工艺100是替换栅极工艺。图14提供了根据本发明的一些实施例的替换栅极工艺100B的实例。图15至图39示出了经历工艺100B的示例IC器件200B。在一些实施例中,IC器件200B包括三栅极或全环栅finFET。如图15所示,工艺100B开始于步骤133:在半导体衬底201上形成鳍247,以及步骤135:形成第一层间介电(ILD)层243。半导体衬底201可以是任何合适类型的衬底。在一些实施例中,衬底201是半导体晶圆。在一些实施例中,衬底201是绝缘体上半导体。
鳍247包括n沟道鳍247A和247B以及p沟道鳍247C和247D。图15示出了在p阱245A中形成的n沟道鳍247A和247B以及在n阱245B中形成的p沟道鳍247C和247D,然而,鳍247可以以任何合适的方式形成和掺杂。在一些实施例中,从衬底201中蚀刻鳍247。在一些实施例中,鳍247是通过外延生长产生的替换鳍。在一些实施例中,从掺杂的衬底201中蚀刻鳍247。在一些实施例中,用掺杂剂生长鳍247。在一些实施例中,在形成鳍247之后,掺杂鳍247。在一些实施例中,鳍247的高度在从 的范围内。
可以通过任何合适的工艺形成ILD层243。如图15所示,在一些实施例中,通过在鳍247上方沉积电介质、化学机械抛光至鳍247的高度、然后蚀刻以使ILD层243凹进而形成ILD层243。在替换鳍工艺中,ILD层243可以形成矩阵,在矩阵中生长鳍247,之后使ILD层243凹进。ILD层243可以由任何合适的电介质或电介质的组合形成。合适的电介质可以包括SiO2、硅酸盐玻璃和低k电介质。
工艺100B继续进行一系列的步骤100A,通过步骤100A形成伪栅极229、间隔件215和源极/漏极区211。在一些实施例中,这些步骤是图7的工艺100A的实施例。图16和图16A示出了这个工艺在形成间隔件215之后的中间阶段。
图16A提供了图16的部分232的立体图。除了图16A之外,图15至图39是沿着平面230的截面图,图16A中示出了平面230。平面230垂直于鳍247延伸(run),在中间沟道处切割鳍247,并且沿着伪栅极229的长度延伸。如图16A所示(在这些视图的外侧),在位置234处形成源极/漏极区211。如图17所示,在步骤100A结束时,去除硬掩模219。
如图18所示,工艺100B继续进行步骤139:形成接触蚀刻停止层(CESL)221以及步骤141:形成额外的层间介电层223。在一些实施例中,CESL 221是SiN。如图19所示,步骤143是化学机械抛光以露出伪栅极229的上表面236。如图20所示,工艺100B继续进行步骤145:去除伪栅极以形成沟槽212,步骤147:形成界面(IL)层(未示出),以及步骤149:形成高k介电层213。应该理解,在这个实例中,IL层和高k介电层213可以与伪栅极堆叠件204一起形成或随后形成。
工艺100B继续进行形成形貌可变金属层的一系列步骤101B,形貌可变金属层包括随后将凹进在ILD层223内的功函数金属层237。这些金属的单个和共同厚度将在标准电压晶体管与低电压晶体管之间以及n沟道晶体管与p沟道晶体管之间变化。在一些实施例中,这些可变厚度起因于如本实例所示的多个沉积、掩蔽和蚀刻操作。如图21至图27所示,在一些实施例中,在n沟道功函数金属237之前沉积p沟道功函数金属237。在一些实施例中,在p沟道功函数金属237之前沉积n沟道功函数金属237。
可以通过BARC辅助回蚀刻110B使这些功函数金属237的一些或全部凹进。
如图21所示,工艺101B开始于步骤151:形成覆盖和阻挡金属层239,以及步骤155:形成第一功函数金属(WFM1)层237A。WFM1层237A为沟槽212的内衬并上升至沟槽212的顶部和之上。在一些实施例中,覆盖和阻挡金属层239包括TiN覆盖层。在一些实施例中,覆盖和阻挡金属层239包括TaN阻挡金属层。功函数金属的实例包括但不限于Ti、TiN、TiAl、W、TaN、WN、Re、Ir、Ru、和Mo。在一些实施例中,功函数金属是Ti、TiN、TiAl和TaN中的一种。
如图22所示,工艺101B继续进行步骤157:形成第一栅极金属掩模235A。掩模235A掩蔽n沟道鳍247和一些p沟道鳍247。如图23所示,步骤159是从露出的p沟道鳍247中去除WFM1层237A的蚀刻工艺。然后剥离掩模235A。
如图24所示,工艺101B继续进行步骤161:形成第二功函数金属(WFM2)层237B。如图25所示,步骤163然后形成覆盖n沟道鳍247的第二栅极金属掩模235B。如图26所示,步骤165是从p沟道鳍247中去除WFM2层237B的蚀刻工艺。蚀刻工艺165是去除WFM2层237B的露出部分同时使WFM1层237A的露出部分的至少一些厚度保留完整的选择性蚀刻。然后剥离掩模235B。
如图27所示,工艺101B继续进行步骤167:形成第三功函数金属(WFM3)层237C。为了避免电流泄漏,WFM层237将从ILD层223上方去除并且从ILD层223的上表面228凹进。WFM层237的总厚度在衬底201上变化。在一些实施例中,WFM层237的最小厚度和最大厚度之间的差值在从的范围内。在衬底201上的WFM层237的厚度的这种变化和图案密度的变化是实施平坦凹进工艺的挑战。在工艺100B中,通过应用BARC辅助回蚀刻110B来应对这些挑战,BARC辅助回蚀刻110B是图1的BARC辅助回蚀刻110的实施例。
如图28所示,处理110B开始于步骤111:形成BARC 209的涂层,以及步骤113:烘烤。在处理110B的一些实施例中,BARC 209的涂层厚度在从的范围内。在处理110B的一些实施例中,在从150℃至250℃的温度范围内实施烘烤113。
如图29所示,在处理110B中,步骤115:CMP降低了BARC 209的高度。在处理110B的一些实施例中,CMP 115将BARC 209的厚度降低了从的范围内的量。如图29所示,在处理110B的一些实施例中,CMP 115停止在WFM层237上。在处理110B的一些实施例中,CMP 115停止在恰好位于WFM层237的高度之上的BARC 209中。在处理110B的一些实施例中,CMP 115停止在CESL 221或间隔件215上。在处理110B的一些实施例中,CMP 115停止在ILD层223上。
在处理110B中,步骤117:回蚀刻,进一步降低BARC 209的高度并且使BARC 209凹进ILD层223内。如图30所示,在一些实施例中,蚀刻117也使一个或多个WFM层237凹进。在一些实施例中,蚀刻117也使覆盖和阻挡金属层239凹进。在处理110B的一些实施例中,通过如图1中的决策118所示的进一步处理使一个或多个WFM层237凹进。在处理110B的一些实施例中,蚀刻117将BARC 209和WFM层237的高度降低了从的范围内的量。在处理110B的一些实施例中,在衬底201的温度在从20℃至40℃的范围内的情况下发生蚀刻117。在处理110B的一些实施例中,蚀刻117的部分特征是等离子体源气体中不含CF4。在等离子体蚀刻117期间存在的CF4可以与WFM层237中的金属反应而产生副产物,该副产物用作蚀刻停止层并干扰WFM层237的凹进。
在一些实施例中,在处理110B结束时,剥离BARC 209。在一些其他实施例中,如本实例所示,进一步使用BARC 209以帮助形成用于额外的功函数金属蚀刻的掩模。在一些可选实施例中,用包括选择性蚀刻120的BARC辅助回蚀刻110B实施这种额外的功函数金属蚀刻。
在图14中,BARC辅助回蚀刻110B之后是步骤171,步骤171形成如图31所示的第三栅极掩模235C。掩模235C掩蔽p沟道鳍247和一些n沟道鳍247。如图32所示,步骤173是从露出的n沟道鳍247中去除BARC209的蚀刻工艺。在一些实施例中,步骤173之后马上进行步骤175,如图33所示,步骤175是从露出的n沟道鳍247中去除WFM层237的蚀刻工艺。
如图32A所示,在一些可选实施例中,在步骤175之前剥离掩模235C。此外,步骤171和173可以发生在处理110B内的蚀刻117之后。然后,步骤175:从露出的n沟道鳍247中去除WFM层237的蚀刻工艺,也可以是使WFM层237凹进的选择性蚀刻120。在这些实施例中,BARC209掩蔽除了期望完全去除WFM层237的位置之外的每个位置的目标去除高度下方的WFM层237。在这些可选实施例中,从露出的n沟道鳍247中去除WFM层237的蚀刻175与使WFM层237凹进的蚀刻120组合,从而减少需要的蚀刻操作的数量。在其他实施例中,在步骤175之后,剥离掩模235C。
处理100B继续进行步骤177:去除BARC的剩余部分以产生如图34所示的结构,然后进行步骤179:如图35所示,沉积填充沟槽212的金属227。金属227可以是任何合适的金属。合适的金属的实例可以包括Al、W、Co、Cu、和Ni。如图36所示,步骤181是将金属227降低至ILD层223的高度的CMP工艺。如图37所示,步骤183是使金属227凹进ILD层223内的蚀刻工艺。在一些实施例中,在步骤183中,使一个或多个WFM金属237与金属227一起凹进。在一些实施例中,在步骤183中,使覆盖和阻挡金属层239与金属227一起凹进。然而,在一些实施例中,在步骤183中,不能使一个或多个WFM金属237和覆盖和阻挡金属层239与金属227一起有效地凹进。在这些实施例中,通过处理BARC辅助回蚀刻110B使在步骤183中至少不能与金属227有效地凹进的层凹进。
如图38所示,工艺100B继续进行步骤185:沉积第三ILD层225。如图39所示,步骤187是将ILD层225降低至与ILD层223相同的高度的CMP工艺。如图39所示,ILD层225的厚度238通常是由通过蚀刻183使金属227凹进的程度决定的。在一些实施例中,厚度238在从的范围内。在一些实施例中,厚度238是在WFM层237上方的ILD层223的高度244的50%至95%的范围内,其中,WFM层237位于鳍247上方的中间沟道处。使厚度238超过高度244的一半提供理想的绝缘量,但工艺窗口相对较窄。
在一些实施例中,BARC辅助回蚀刻110B使一个或多个WFM层237凹进的程度等于或大于蚀刻183使金属227凹进的程度。这导致WFM层237位于金属227的上表面下方。在大多数实施例中,BARC辅助回蚀刻110B不降低任何WFM层237的厚度240,其中,WFM层237位于鳍247上方的中间沟道处。BARC辅助回蚀刻110B可以使WFM层237凹进至这些参数的限度内。
本发明提供了一种制造集成电路器件的方法,该方法包括:通过一系列操作处理半导体衬底,该一系列操作形成形貌可变表面,形貌可变表面包括位于表面上的材料的层。材料的层在衬底上的高度变化。在表面上方旋涂形成聚合物底部抗反射涂层(BARC)。烘烤在BARC中引发交联。CMP去除BARC的第一部分。然后蚀刻去除BARC的第二部分并实现BARC的自上而下凹进。在一些实施例中,蚀刻在BARC和高度变化的层之间具有低选择性。在一些实施例中,去除BARC的第二部分的蚀刻同时降低层的高度并实现层的自上而下凹进。
通过本发明提供的方法实现了至少刚开始高度可变的材料层的平坦凹进。可以去除特定高度之上的任何材料层。可以保护基本上位于特定高度下方的结构不受污染并保持完整。当使用的磨料在CMP期间与BARC形成醚键时,该方法可以特别有效。
本发明也提供了一种制造集成电路器件的方法,该方法包括:在半导体衬底上形成伪栅极堆叠件,在伪栅极堆叠件上方形成硬掩模,使用硬掩模图案化伪栅极堆叠件以形成伪栅极,形成邻近伪栅极的间隔件,以及形成与间隔件对准的源极和漏极。然后通过在伪栅极上方沉积底部抗反射涂层、化学机械抛光以形成包括底部抗反射涂层的表面的平坦上表面、等离子体蚀刻化学机械抛光的表面以使BARC凹进至大约伪栅极的高度以及在去除BARC之前去除硬掩模来去除硬掩模。在一些实施例中,使BARC凹进至大约伪栅极的高度的蚀刻也去除硬掩模。
本发明还提供了一种制造集成电路器件的方法,该方法包括替换栅极工艺。该方法包括:在半导体衬底上形成具有伪栅极的finFET,伪栅极具有高度并环绕鳍,形成覆盖半导体衬底的第一介电层,去除伪栅极以形成沟槽,以及在一些鳍的沟道区上方形成功函数金属层。至少一些沟槽的长度的至少一部分以功函数金属层为内衬,并且功函数金属层在一些位置处上升至沟槽的顶部。然后通过沉积聚合物底部抗反射涂层、烘烤以使底部抗反射涂层交联、化学机械抛光以形成包括底部抗反射涂层的平坦上表面以及在化学机械抛光之后进行等离子体蚀刻使功函数层凹进。等离子体蚀刻使BARC变为凹进在沟槽内。在一些实施例中,等离子体蚀刻也降低功函数金属层到达的高度,并使功函数金属层变为凹进在沟槽内。该工艺可以通过介电层防止功函数金属引起短路,介电层将随后形成在沟槽的上部以使栅电极与上面的结构绝缘。
上面概述了多个实施例的特征,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍的实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域普通技术人员也应该认识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以作出多种变化、替换以及改变。

Claims (20)

1.一种制造集成电路器件的方法,包括:
通过一系列操作处理半导体衬底以形成形貌可变表面,所述形貌可变表面包括位于所述表面上的材料的层,其中,所述层在所述衬底上的高度变化;
在所述表面上方旋涂聚合物底部抗反射涂层(BARC);
通过烘烤在所述底部抗反射涂层中引发交联;
化学机械抛光以去除所述底部抗反射涂层的第一部分;以及
蚀刻以实现所述底部抗反射涂层与所述材料的层的自上而下凹进。
2.根据权利要求1所述的方法,其中,实现所述底部抗反射涂层的自上而下凹进的所述蚀刻是在所述底部抗反射涂层和所述材料之间具有低选择性并实现所述材料的层的自上而下凹进的蚀刻。
3.根据权利要求1所述的方法,其中,所述化学机械抛光停止在所述材料的层上。
4.根据权利要求2所述的方法,其中,所述蚀刻使所述底部抗反射涂层和所述材料的层凹进所述表面上的介电层内。
5.根据权利要求2所述的方法,其中,所述旋涂、所述化学机械抛光、和具有低选择性的所述蚀刻发生在替换栅极工艺内并形成所述替换栅极工艺的部分。
6.根据权利要求1所述的方法,其中,所述材料的层是硬掩模的层。
7.根据权利要求1所述的方法,其中,所述材料的层是形成金属栅电极的部分的功函数金属。
8.根据权利要求7所述的方法,其中,在一个或多个finFET鳍上方形成所述金属栅电极。
9.根据权利要求1所述的方法,其中,所述化学机械抛光包括用包含磨料粒子的料浆进行的抛光,所述磨料粒子包括选自由SiO2、Al2O3和CeO2组成的组中的材料。
10.根据权利要求9所述的方法,其中,在所述化学机械抛光工艺期间,所述磨料粒子与底部抗反射涂层材料形成化学键。
11.根据权利要求10所述的方法,其中,所述磨料粒子接合至所述底部抗反射涂层材料的羟基基团。
12.根据权利要求1所述的方法,还包括:
在实现所述底部抗反射涂层的自上而下凹进的所述蚀刻之后,实施在所述材料和所述底部抗反射涂层之间具有高选择性的蚀刻以使所述材料的层凹进。
13.一种制造集成电路器件的方法,包括:
在半导体衬底上方形成伪栅极堆叠件;
在所述伪栅极堆叠件上方形成硬掩模;
使用所述硬掩模图案化所述伪栅极堆叠件以形成伪栅极;
形成邻近所述伪栅极的间隔件;
形成与所述间隔件对准的源极和漏极;
在所述伪栅极上方形成聚合物底部抗反射涂层(BARC),其中,所述底部抗反射涂层填充所述伪栅极之间的间隙;
烘烤所述底部抗反射涂层;
化学机械抛光以形成包括所述底部抗反射涂层的平坦上表面;
等离子体蚀刻化学机械抛光的表面,其中,所述等离子体蚀刻使所述底部抗反射涂层凹进至所述伪栅极的高度;以及
在去除所述底部抗反射涂层之前去除所述硬掩模。
14.根据权利要求13所述的方法,其中,所述化学机械抛光停止在所述硬掩模上。
15.根据权利要求13所述的方法,其中,所述化学机械抛光的表面的所述等离子体蚀刻去除了所述硬掩模。
16.根据权利要求13所述的方法,其中,所述化学机械抛光的表面的所述等离子体蚀刻在所述底部抗反射涂层和所述硬掩模之间具有低选择性。
17.一种制造集成电路器件的方法,包括:
在半导体衬底上形成具有伪栅极的finFET,所述伪栅极具有高度并环绕鳍;
形成第一介电层,所述第一介电层覆盖所述半导体衬底,并且所述第一介电层的高度至少等于所述伪栅极的高度;
去除所述伪栅极以形成位于所述第一介电层内的沟槽;
在一些所述鳍的沟道区上方形成功函数金属层,其中,至少一些所述沟槽的长度的至少一部分以所述功函数金属层为内衬,从而所述功函数金属层在一些位置处上升至所述沟槽的顶部;
形成聚合物底部抗反射涂层(BARC),其中,所述底部抗反射涂层位于所述功函数金属层之上并且填充所述沟槽;
烘烤所述底部抗反射涂层;
化学机械抛光以形成包括所述底部抗反射涂层的平坦上表面;以及
在所述化学机械抛光之后,进行等离子体蚀刻,其中,所述等离子体蚀刻使所述底部抗反射涂层变为凹进在所述沟槽内。
18.根据权利要求17所述的方法,还包括:
去除所述底部抗反射涂层;
用金属填充所述沟槽;
使所述金属凹进所述沟槽内;
用额外的电介质填充所述沟槽;以及
化学机械抛光以使所述额外的电介质与所述第一介电层处于相同的高度。
19.根据权利要求18所述的方法,其中,所述等离子体蚀刻在所述底部抗反射涂层和功函数金属之间具有低选择性,所述等离子体蚀刻降低了所述功函数金属层到达的高度,并使所述功函数金属层变为凹进在所述沟槽内。
20.根据权利要求17所述的方法,还包括:
在凹进的所述底部抗反射涂层上方形成掩模;
通过所述掩模蚀刻以去除露出的所述底部抗反射涂层,其中,所述蚀刻从一些所述鳍上方去除所述底部抗反射涂层;
等离子体蚀刻以从去除了所述底部抗反射涂层的所述鳍上方去除所述功函数金属层;以及
去除所述底部抗反射涂层的剩余部分。
CN201410239159.9A 2014-03-13 2014-05-30 用于平坦凹进或去除可变高度层的barc辅助工艺 Active CN104916583B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/208,697 US9236446B2 (en) 2014-03-13 2014-03-13 Barc-assisted process for planar recessing or removing of variable-height layers
US14/208,697 2014-03-13

Publications (2)

Publication Number Publication Date
CN104916583A CN104916583A (zh) 2015-09-16
CN104916583B true CN104916583B (zh) 2018-04-20

Family

ID=54069831

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410239159.9A Active CN104916583B (zh) 2014-03-13 2014-05-30 用于平坦凹进或去除可变高度层的barc辅助工艺

Country Status (3)

Country Link
US (2) US9236446B2 (zh)
CN (1) CN104916583B (zh)
TW (1) TWI578387B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9281192B2 (en) 2014-03-13 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMP-friendly coatings for planar recessing or removing of variable-height layers
US9236446B2 (en) * 2014-03-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Barc-assisted process for planar recessing or removing of variable-height layers
KR102214096B1 (ko) * 2015-08-06 2021-02-09 삼성전자주식회사 반도체 장치 제조 방법
WO2017052610A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for bottom-up filling of three-dimensional semiconductor device topographies
KR102402761B1 (ko) * 2015-10-30 2022-05-26 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9673331B2 (en) * 2015-11-02 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
DE102016116586B4 (de) 2015-12-31 2024-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Belastungseffektreduzierung durch mehrere Beschichtungs-Ätzprozesse
CN107591369B (zh) 2016-07-07 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9875909B1 (en) 2016-07-26 2018-01-23 United Microelectronics Corp. Method for planarizing material layer
US10388644B2 (en) * 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10043713B1 (en) 2017-05-10 2018-08-07 Globalfoundries Inc. Method to reduce FinFET short channel gate height
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
CN109309088B (zh) * 2017-07-27 2023-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10176997B1 (en) * 2017-09-11 2019-01-08 International Business Machines Corporation Direct gate patterning for vertical transport field effect transistor
US10170317B1 (en) 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer
US10777420B1 (en) * 2019-02-26 2020-09-15 United Microelectronics Corp. Etching back method
US10811270B2 (en) * 2019-03-15 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra narrow trench patterning using plasma etching
CN113314530A (zh) * 2020-02-27 2021-08-27 台湾积体电路制造股份有限公司 制造半导体器件的方法和半导体器件
CN113838746B (zh) * 2021-11-29 2022-03-11 西安奕斯伟材料科技有限公司 一种改善外延晶圆平坦度的方法以及外延晶圆

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1855420A (zh) * 2005-04-27 2006-11-01 上海华虹Nec电子有限公司 一种利用铜选择性淀积的大马士革工艺

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6703187B2 (en) 2002-01-09 2004-03-09 Taiwan Semiconductor Manufacturing Co. Ltd Method of forming a self-aligned twin well structure with a single mask
US7067385B2 (en) * 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7348281B2 (en) * 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
JP2009194242A (ja) 2008-02-15 2009-08-27 Tokyo Electron Ltd 塗布、現像装置、塗布、現像方法及び記憶媒体
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
US8525267B2 (en) 2010-11-23 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for forming Fins in integrated circuitry
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8715907B2 (en) 2011-08-10 2014-05-06 International Business Machines Corporation Developable bottom antireflective coating compositions for negative resists
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8921218B2 (en) 2012-05-18 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate finFET device and method of fabricating thereof
US8735937B2 (en) * 2012-05-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Fully isolated LIGBT and methods for forming the same
US8889501B2 (en) * 2012-06-01 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming MOS devices with raised source/drain regions
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9236446B2 (en) * 2014-03-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Barc-assisted process for planar recessing or removing of variable-height layers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1855420A (zh) * 2005-04-27 2006-11-01 上海华虹Nec电子有限公司 一种利用铜选择性淀积的大马士革工艺

Also Published As

Publication number Publication date
TW201543553A (zh) 2015-11-16
US20150263132A1 (en) 2015-09-17
TWI578387B (zh) 2017-04-11
US20160099157A1 (en) 2016-04-07
US9236446B2 (en) 2016-01-12
US9478431B2 (en) 2016-10-25
CN104916583A (zh) 2015-09-16

Similar Documents

Publication Publication Date Title
CN104916583B (zh) 用于平坦凹进或去除可变高度层的barc辅助工艺
US10840097B2 (en) Semiconductor methods and devices
TWI556348B (zh) 積體電路裝置的製造方法
CN110364571A (zh) 半导体装置的形成方法
CN108807181A (zh) 半导体装置及其制造方法
US9515078B2 (en) Semiconductor structure and method for forming the same
US10714381B2 (en) Semiconductor device having composite structures and fabrication method thereof
CN107689398A (zh) 半导体器件及其制造方法
TW201812923A (zh) 半導體裝置的形成方法
TWI294669B (en) Method for preventing trenching in fabricating split gate flash devices
CN110875394A (zh) 半导体装置的形成方法
CN107579036A (zh) 半导体装置及其制造方法
CN106531684B (zh) 一种形成自对准接触部的方法
CN107045981B (zh) 半导体结构的形成方法
CN105097516B (zh) 一种FinFET器件及其制造方法、电子装置
KR20150130240A (ko) 가변 높이 층을 평면 리세싱 또는 제거하기 위한 cmp 친화적 코팅
TW200915391A (en) Reverse masking profile improvements in high aspect ratio etch
CN109427808A (zh) 半导体存储元件及其制造方法
CN109698119A (zh) 一种半导体器件的制造方法和半导体器件
CN111312590A (zh) 一种改善鳍型场效应晶体管栅高均匀性的方法
US12002715B2 (en) Semiconductor device and method
CN105762115B (zh) 存储器件的形成方法
US20210125875A1 (en) Semiconductor device and method
US10453932B2 (en) Semiconductor structure for flash memory cells and method of making same
CN108091555A (zh) 一种半导体器件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant