CN104900249A - 用于3d集成电路堆栈的能量有效配电 - Google Patents

用于3d集成电路堆栈的能量有效配电 Download PDF

Info

Publication number
CN104900249A
CN104900249A CN201510289203.1A CN201510289203A CN104900249A CN 104900249 A CN104900249 A CN 104900249A CN 201510289203 A CN201510289203 A CN 201510289203A CN 104900249 A CN104900249 A CN 104900249A
Authority
CN
China
Prior art keywords
tube core
power
equipment according
voltage
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510289203.1A
Other languages
English (en)
Other versions
CN104900249B (zh
Inventor
R·萨拉斯沃特
A·舍费尔
S·祖普里扬托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN104900249A publication Critical patent/CN104900249A/zh
Application granted granted Critical
Publication of CN104900249B publication Critical patent/CN104900249B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/325Power saving in peripheral device
    • G06F1/3275Power saving in memory, e.g. RAM, cache
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Dc-Dc Converters (AREA)

Abstract

本发明涉及一种用于3D集成电路堆栈的能量有效配电。可以将多个管芯堆叠成通常称为三维模块(或“堆栈”)的东西,在管芯之间具有互连,获得电路部件容量增大的IC模块。这样的结构能够导致更低的寄生效应,使得电荷能够在各不同层之间迁移到不同部件。在一些实施例中,本发明提供了有效率的配电方式,用于向不同层中的部件供电。例如,可以提高用于全局电源轨的电压电平以减低给定功率目标的所需电流密度。

Description

用于3D集成电路堆栈的能量有效配电
本申请为分案申请,其原申请是于2013年9月30日(国际申请日为2012年3月30日)向中国专利局提交的专利申请,申请号为201280016822.8,发明名称为“用于3D集成电路堆栈的能量有效配电”。
技术领域
本发明总体上涉及集成电路,尤其涉及所谓的三维集成电路。
附图说明
在附图中通过举例而非限制,例示了本发明的实施例,附图中类似附图标记表示类似元件。
图1示出了异质三维集成电路模块。
图2是根据一些实施例具有配电拓扑的3D IC模块的图示。
图3示出了根据一些实施例用于图2的3D配电拓扑的电源状态管理方案。
图4示出了根据一些实施例的3D集成电路模块的侧面示意部分。
具体实施方式
可以将多个管芯堆叠成通常称为三维模块(或“堆栈”)的东西,在管芯之间具有互连,获得电路部件容量增大的IC模块。这样的结构能够导致更低的寄生效应,使得电荷能够在各不同层之间迁移到不同部件。
在一些实施例中,本发明提供了有效率的配电方式,用于向不同层中的部件供电。例如,可以提高用于全局电源轨的电压电平以减低给定功率目标的所需电流密度。在一些实施例中,可以采用具有高压全局轨和局部下变频器的分级设计结构。此外,可以将管芯到管芯电容器(设置于相邻管芯层之间的电容器)和管芯上电容器的混合用于局部功率调节,例如, 对于给定电路减少必要的管芯上电容,或实现更好的产出和/或提供有效的局部粒状(granular)调节。
此外,在希望的时候,可以在工作中在非易失性存储器(例如PCM和/或闪存)和易失性(e-DRAM、DRAM)之间交换数据,例如,以能够停止易失性存储器的阻塞。这可能导致节省功率的机会增大。为了利用这样的潜在更快的存储转移能力,可以考虑到模块中采用的特定层,定义功率状态,例如,其可能导致更加粒状的控制。在一些实施例中,可以使用集中式功率状态管理策略来利用这样的状态。例如,可以使用更精细的颗粒功率节流来通过自适应地址重映射来提高效率。
此外,用于单个模块的不同处理的可用性提供了在更宽范围的互补目的地中定位模拟和逻辑部件的机会。例如,模拟敏感部件可以定位于例如PCM/闪存处理管芯层上,其可以具有期望的模拟特征,例如部件特征尺度、电源电平等。类似地,逻辑晶体管能够利用更适于逻辑电路(例如在内核层上)的处理。
图1是用于诸如移动电话、便携式个人计算机或服务器计算机的计算装置的示范性不均匀3D模块的概念视图。非均质模块是一种由两个或更多不同处理(例如,可用的晶体管特征尺度、电源电平等)形成的包括两个或更多集成电路管芯的模块。例如,用于处理器管芯的处理通常不同于用于闪速存储器管芯的处理。
图示的3D模块具有内核层102、闪存层104、SRAM层106、PCM(相变存储器)层108和eDRAM层110。它还有众多的过硅通孔(“TSV”),用于在不同电子层之间互连信号和电源基准。根据功能和负载要求,TSV可以是不同长度和宽度。也可能有其他类型的互连结构,用于实现不同层之间的互连。此外,尽管图示和论述了TSV,但可以使用其他适当的互连结构来实现全局电源轨,在以后几节里将论述该问题。
(注意,可以与ASIC或处理器在相同管芯上集成用于嵌入式DRAM的eDRAM支架、基于电容器的动态随机存取存储器。它通常比常规DRAM、用于相变存储器的PCM支架泄露相当大,但更快。它是一种非易失性计算机存储器。基于PCM的存储器采用了硫属玻璃的独特行为。例如,可以将PCM单元用于制作由垂直集成的PCM单元形成的PCMS、相变存储器开关、 阵列。基于特定的设计考虑,这里论述的3D模块可以采用PCM层和/或PCMS层。)
图2示出了根据一些实施例具有分级配电网络的3D模块200。3D模块200一般包括如图所示耦合在一起的不同的管芯层(202到214)、全局电压调节器(GVR)222、用于实现全局高电源轨224和全局低电源(例如地或Vss)轨226的一个或多个TSV、电源管理单元(PMU)228和局部电压调节器(LVR)232。
可以利用任何适当的电压调节器设计实现GVR和LVR。例如,根据“外加电压”被向下或向上转换,可以利用开关型DC-DC变换器,例如降压、降压-升压或升压-降压变换器同步或以其他方式实现可能比LVR供应多得多功率的GVR 222。也可以采用其他适当的设计。在一些实施例中,可以在主控制器中设置GVR和PMU,其可以是核心管芯202的一部分。或者,它可以在独立的管芯层中。类似地,可以利用内核层中的逻辑将PMU 228实现为离散逻辑或主机的一部分或平台控制器,或者,可以利用软件实现它。应当认识到,GVR和PMU可以位于模块的任何部分之内。例如,闪存或存储器处理可能很适合GVR,而PMU可以设置于GVR或一个或多个独立层上,例如存储器或CPU层上。下面参考图3论述PMU 228一部分的范例。此外,在一些实施例中,GVR可以设置于模块外部,从外部接触向一个或多个全局供应轨供应全局电源。
可以利用开关型调节器或利用其他可能更合适的设计,例如开关电容器设计,实现供应较少功率的LVR。(可能优选开关电容器设计,因为在需要较大电容的同时,不需要电感器,这利用了如下事实:在考虑到它们的从管芯到管芯界面的管芯到管芯电容器(F)能力时,3D模块可以具有很多可用电容。)应当认识到,还被用作下变频器,根据设计需求,一个或多个LVR还可以执行升压变换。例如,一些存储器类型可能要求比全局电压轨更高的电压。
SCVR电容器可以利用技术比电容,例如所谓的mim电容器、沟槽电容器等。或者,管芯上电容器可以被利用管芯层之间的重新配电层(RDL)形成的电容替换或增强。另一方面,如果采用利用电感器的VR设计,可以使用电感器。例如,可以采用螺旋或其他电感器(例如,利用螺旋形式的 TSV形成)。在一些实施例中,采用电感器的VR例如可以使用管芯到管芯电容作为隔直流电容器。RDL(重新配电层)电容也可以被用作解耦帽,例如,如果需要,可以在堆栈中需要的地方插入额外的解耦管芯。
GVR 222接收外部电源电压(“外电源”)并将其转换成期望的电压电平,以施加于全局高侧轨224。从这里利用LVR 232将功率分配给整个模块中的多个层。尽管针对每个层示出了单个LVR,但应当认识到,可以使用多个VR,例如用于针对一个或多个不同的供应域对公共供应栅做贡献和/或提供供应电平(不同或相同)。在图示的实施例中,开关电容器VR(SCVR)被用于利用外部可用电容机会。每个LVR包括管芯上电容器(CD)以及界面电容器(QF),其可以形成于相邻管芯层之间夹置的配电层中。
这种分级配电方案的可能益处是,它解决了非均质堆栈的问题之一,堆栈之内各管芯层的不同要求本来需要在整个堆栈中设置众多不同供应轨。从模块外部向模块之内每个电压域提供独立的专用供应轨可能需要大量的TSV(或其他功率轨结构),这实际上可能不是由于大量TSV导致的,对于非均质多管芯堆栈中的其他功能已经需要TSV很多次。
在一些实施例中,可以提升高压侧轨(224)的电压,从而向不同的管芯层提供充分大功率,而不会违反用于实现全局高低参考轨的TSV的电流密度限制。3D堆栈可能发生的问题是在最坏情况期间可能遇到的高电流密度问题,例如在同时或接近同时开关所有(或大部分)管芯时。如果外部电源仅仅被提供给模块但不提高,典型和/或期望的TSV和接触结构参数可能不能在这些时间处理这些电流水平。因此,可能导致全局高电源轨处于更高电压电平,从而针对给定功率水平降低其电流密度。
随着GVR(或局部转换器)和全局高电源电压的效率提高,互连结构(例如TSV)上的电流负荷减小。(注意,如果GVR的平均电压升高,平均电流则减小,不论GVR的效率改善如何。例如,效率改善可能源自由于平均电流减小在TSV中造成的电阻损耗降低。)可以通过任何适当方式产生更高电压。例如,可以在GVR之后,例如降压变换器之后,由电荷泵级产生它,或者可以简单地利用GVR的降压/升压拓扑来产生它,以泵升全局高电源轨的电压。
图3示出了图2的堆栈,示范性功率管理单元228用于控制不同层的功率状态。它包括用于地址映射的功率活动表,如果需要的话,用于实时状态定义和跟踪。它还包括功率状态控制器330,以响应于当前进入的状态,控制域的功率选通、块/或不同层的整个部分。可以通过控制一个或多个LVR(例如,如果每层使用几个或很多)或通过门开关来实现这样的选通,如公知的那样。
在图示的实施例中,层部分,例如eDRAM或DRAM(未示出)中的存储控制器可能请求自己改变状态,然后由电源状态控制器330实施。在其他实施例中,电源状态控制器330可以发起状态输入,例如,响应于检测到充分的不活动性(超时)或从内核或处理器活动监测器接收到表示层部分(例如DRAM块或部分或整个管芯)可能基于任务排队等充分不活动的信息。
利用多处理不均匀堆栈,可以提供机会以为能量有效操作定义新的电源状态。根据设计目标和系统的当前用途(这可能根据给定应用大大地变化),可以在当前工作点的运行时间期间自适应地优化地址映射。图3利用其电源活动表315和针对每层的状态管理数据结构示出了这种情况(332到342)。可以定义新的电源活动状态,其中根据层部分,例如eDRAM的子阵列的被跟踪活动,如果可行,可以在已经将其数据传输到不同存储器部分中之后,例如到诸如PCMS的非易失性存储器中之后,关闭这些部分,反之亦然。这将能够关闭,例如模块,例如DRAM或eDRAM的“泄露”部分,转而利用低功率非易失性存储器。这样允许实现性能、功率和能量效率之间的期望折衷。
在前面的描述中,已经阐述了众多具体细节。不过,显然可以实践本发明的实施例而没有这些具体细节。在其他情况下,可能未详细示出公知的电路、结构和技术,以便不使描述的理解模糊不清。有鉴于此,提到“一个实施例”、“实施例”、“范例实施例”、“各实施例”等表示这样描述的本发明实施例可以包括特定的特征、结构或特性,但未必每个实施例都包括特定特征、结构或特性。此外,一些实施例可以具有针对其他实施例描述的一些、全部特征或没有任何特征。
如上所述,自适应重映射将能够关闭未使用的存储器结构部分,以实现可用资源的最优利用。提出了用于有效率的电源管理的电源状态控制器。功率管理单元保持跟踪各管芯或管芯部分的利用,并调节相关管芯或管芯部分的电源轨。图3示出了功率管理单元的方框图。它包括管芯功率/活动表/控制器,监测由内核或存储器管芯发出的功率状态的活动变化。功率状态控制器包含需要向各个存储器管芯发出以该某功率状态的各种命令。
图4示出了3D堆栈的一部分的侧视图。它包括在整个配电层404中一起安装的管芯层402。这幅图示出,根据特定的设计考虑,可以按照前侧到前侧、后侧到后侧的期望组合和/或任何其他适当组合,来耦合管芯。尽管未示出,可以将它们设置成前侧到后侧等。沿着这些线,功能管芯层可以处于任何适当次序,但可能希望在外部,例如顶部或底部设置产生最多热量的层(例如,内核/处理器层)。同样地,对于改进型带宽,可以使不同的层更接近特定的其他层以实现重要通道的更快传输速率。
配电层404可以包括不同管芯部件之间利用通孔或其他结构的多个导电层,以便于信号互连。可以在配电层之内实现管芯到管芯电容器(CIF)。例如,可以使用不用于TSV焊盘等的导电片,或可以包括额外的金属层。
以下段落描述各实施例。
在各实施例中,一种设备包括第一处理类型的第一管芯,所述第一管芯耦合到第二处理类型的第二管芯,所述第二管芯耦合到第三处理类型的第三管芯,所述第二管芯提供非易失性存储器,所述第三管芯提供易失性存储器,所述设备还包括全局电压调节器向通过所述第一、第二和第三管芯设置的全局高供应轨供电,以向第一、第二和第三管芯的每个中的至少一个局部电压调节器提供电压供应,以及功率管理单元,以控制功率状态,其中对于至少一个状态,将来自第三管芯的数据传输到第二管芯,并为所述第三管芯的至少一部分断电。
在各实施例中,所述第二管芯包括相变存储器。
在各实施例中,所述第三管芯包括eDRAM存储器。
在各实施例中,所述至少一个局部电压调节器包括开关电容器电压调节器。在这些实施例的各个实施例中,全局电压调节器是DC到DC升压变换器,以提升接收的外部电源电压。
在各实施例中,所述第一管芯包括核心逻辑并有助于所述功率管理单元。
在各实施例中,所述功率管理单元包括实施自适应地址重映射的逻辑,用于对所述易失性存储器实施功率状态管理。
在各实施例中,所述设备还包括额外管芯。
在各实施例中,所述设备包括管芯之间的重新配电层,所述重新配电层包括耦合到一个或多个局部电压调节器的管芯到管芯电容器。
在各实施例中,利用一个或多个过硅通孔实现高供应轨。
在各实施例中,一种设备包括:多个堆叠在一起形成3D集成电路堆栈的管芯,以及所述管芯之内一个或多个全局高供应轨,以向每个管芯提供全局高供应,其中每个管芯都具备局部电压调节器,以接收全局高供应作为输入并从其提供降低的电压。
在各实施例中,利用开关电容器类型的调节器实现局部电压调节器。在这些实施例的各个实施例中,开关电容器电压调节器包括利用管芯之间的重新配电层形成的电容器。
在各实施例中,管芯包括处理器管芯、非易失性存储器管芯和易失性存储器管芯。在这些实施例的各个实施例中,非易失性存储器包括相变存储器。
也可以相对于这里所述的各种方法和系统实现上文所述设备的所有任选特征。
在前面的描述和后面的权利要求中,应当如下解释以下术语:可以使用术语“耦合”和“连接”,及其派生词。应当理解,这些术语并非意在彼此为同义词。相反,在特定实施例中,使用“连接”表示两个或更多元件彼此直接物理或电接触。使用“耦合”表示两个或更多元件彼此合作或交互作用,但它们可以直接物理或电接触或不接触。
本发明不限于所述实施例,而是可以利用所附权利要求精神和范围之内的修改和变化来实践。例如,应当认识到,本发明适用于所有类型的半导体集成电路(“IC”)芯片。这些IC芯片的范例包括,但不限于处理器、控制器、芯片组部件、可编程序逻辑阵列(PLA)、存储器芯片、网络芯片等。
还应当认识到,在一些附图中,利用线条代表信号导体线。一些可以更粗,以表示更多组成信号通路,具有数字标记,以表示若干组成信号通路和/或在一端或多端具有箭头,以表示基本信息流方向。不过,不应以限制性方式解释这种情况。相反,可以结合一个或多个示范性实施例使用这种附加细节以便于更容易理解电路。任何代表的信号线,无论是否有附加信息,都实际可以包括可以在多个方向行进的一个或多个信号,可以利用任何适当类型的信号方案实现,例如利用微分对、光纤线路和/或单端线路实现数字或模拟线路。
应当认识到,可能已经给出了范例尺寸/模型/值/范围,但本发明不限于此。随着制造技术(例如光刻)随着时间而成熟,预计可以制造出更小尺寸的装置。此外,为了例示和论述简单起见,可以在附图中示出或不示出公知的通往IC芯片和其他部件的电源/地连接,从而不使本发明模糊不清。此外,可能以方框图形式示出布置,以免使本发明模糊不清,而且鉴于相对于实施这种方框图布置的具体细节高度取决于要实施本发明的平台,即这样的具体细节应当在本领域技术人员视界之内。在阐述具体细节(例如电路)以便描述本发明的范例实施例时,对于本领域的技术人员而言显然,可以无需这些具体细节或利用这些具体细节的变化来实践本发明。于是应当将描述视为例示性的而非限制性的。

Claims (10)

1.一种设备,包括:
堆叠在一起以形成3D集成电路堆栈的多个管芯;以及
所述管芯之内的一个或多个全局高供应轨,以向每个管芯供应全局高供应,其中每个管芯都具有局部电压调节器,以接收所述全局高供应作为输入并从其提供降低的电压。
2.根据权利要求1所述的设备,其中利用开关电容器类型的调节器来实现所述局部电压调节器。
3.根据权利要求2所述的设备,其中所述开关电容器电压调节器包括利用管芯之间的重新配电层形成的电容器。
4.根据权利要求1所述的设备,其中所述管芯包括处理器管芯、非易失性存储器管芯和易失性存储器管芯。
5.根据权利要求4所述的设备,其中所述非易失性存储器包括相变存储器。
6.一种设备,包括:
堆叠在一起以形成3D集成电路堆栈的多个管芯;
所述管芯之内的一个或多个全局高供应轨,以向每个管芯提供全局高供应;以及
用于在所述管芯中的至少一些管芯中接收所述全局高供应作为输入并从其提供降低的电压的模块。
7.根据权利要求6所述的设备,其中利用开关电容器类型的调节器实现所述模块。
8.根据权利要求7所述的设备,其中所述开关电容器电压调节器包括利用管芯之间的重新配电层形成的电容器。
9.根据权利要求6所述的设备,其中所述管芯包括处理器管芯、非易失性存储器管芯和易失性存储器管芯。
10.根据权利要求9所述的设备,其中所述非易失性存储器包括相变存储器。
CN201510289203.1A 2011-03-31 2012-03-30 用于3d 集成电路堆栈的能量有效配电 Active CN104900249B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/077,359 US8547769B2 (en) 2011-03-31 2011-03-31 Energy efficient power distribution for 3D integrated circuit stack
US13/077,359 2011-03-31
CN201280016822.8A CN103493137B (zh) 2011-03-31 2012-03-30 用于3d集成电路堆栈的能量有效配电

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201280016822.8A Division CN103493137B (zh) 2011-03-31 2012-03-30 用于3d集成电路堆栈的能量有效配电

Publications (2)

Publication Number Publication Date
CN104900249A true CN104900249A (zh) 2015-09-09
CN104900249B CN104900249B (zh) 2017-12-15

Family

ID=46927119

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201280016822.8A Active CN103493137B (zh) 2011-03-31 2012-03-30 用于3d集成电路堆栈的能量有效配电
CN201510289203.1A Active CN104900249B (zh) 2011-03-31 2012-03-30 用于3d 集成电路堆栈的能量有效配电

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201280016822.8A Active CN103493137B (zh) 2011-03-31 2012-03-30 用于3d集成电路堆栈的能量有效配电

Country Status (5)

Country Link
US (1) US8547769B2 (zh)
EP (1) EP2691957B1 (zh)
KR (2) KR101592078B1 (zh)
CN (2) CN103493137B (zh)
WO (1) WO2012135772A2 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8638633B2 (en) * 2011-04-29 2014-01-28 Spansion Llc Apparatus and method for external charge pump on flash memory module
JP5947387B2 (ja) * 2011-09-30 2016-07-06 インテル・コーポレーション 3d集積回路積層体の層間通信
DE112011106009T5 (de) * 2011-12-23 2014-12-18 Intel Corp. Getrennte Mikrokanal-Spannungsdomänen in Stapelspeicherarchitektur
WO2013101038A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Heterogeneous memory die stacking for energy efficient computing
KR20140023706A (ko) * 2012-08-17 2014-02-27 에스케이하이닉스 주식회사 반도체 장치의 파워 tsv
US9087559B2 (en) * 2012-12-27 2015-07-21 Intel Corporation Memory sense amplifier voltage modulation
KR102048251B1 (ko) * 2013-03-14 2019-11-25 삼성전자주식회사 메모리 칩 패키지, 그것을 포함하는 메모리 시스템, 그것의 구동 방법
US9391453B2 (en) * 2013-06-26 2016-07-12 Intel Corporation Power management in multi-die assemblies
US9547034B2 (en) * 2013-07-03 2017-01-17 Xilinx, Inc. Monolithic integrated circuit die having modular die regions stitched together
US11074169B2 (en) * 2013-07-03 2021-07-27 Micron Technology, Inc. Programmed memory controlled data movement and timing within a main memory device
US9298201B2 (en) * 2013-12-18 2016-03-29 International Business Machines Corporation Power delivery to three-dimensional chips
US20150168973A1 (en) * 2013-12-18 2015-06-18 Hashfast LLC Stacked chips powered from shared voltage sources
EP3087604A4 (en) * 2013-12-23 2017-09-06 Intel Corporation Through-body-via isolated coaxial capacitor and techniques for forming same
TWI527392B (zh) 2014-01-14 2016-03-21 財團法人工業技術研究院 建置電源網路之裝置與方法
US9607680B2 (en) * 2014-03-04 2017-03-28 Apple Inc. EDRAM/DRAM fabricated capacitors for use in on-chip PMUS and as decoupling capacitors in an integrated EDRAM/DRAM and PMU system
US20160071822A1 (en) * 2014-09-08 2016-03-10 International Business Machines Corporation OPTIMIZING POWER DISTRIBUTION FROM A POWER SOURCE THROUGH A C4 SOLDER BALL GRID INTERCONNECTED THROUGH SILICON VIAS IN INTERMEDIATE INTEGRATED CIRCUIT CHIP CONNECTED TO CIRCUITRY IN AN UPPER INTEGRATED CIRCUIT CHIP THROUGH A GRID OF MICRO uC4 SOLDER BALLS
US9666562B2 (en) * 2015-01-15 2017-05-30 Qualcomm Incorporated 3D integrated circuit
US9928897B2 (en) 2015-02-27 2018-03-27 Hewlett Packard Enterprise Development Lp Memory module voltage regulator module (VRM)
US9859358B2 (en) 2015-05-26 2018-01-02 Altera Corporation On-die capacitor (ODC) structure
KR20170030307A (ko) * 2015-09-09 2017-03-17 삼성전자주식회사 분리 배치된 커패시터를 갖는 메모리 장치
US9576615B1 (en) * 2015-10-15 2017-02-21 Smart Modular Technologies, Inc. Memory module with power management system and method of operation thereof
KR102424702B1 (ko) 2015-11-19 2022-07-25 삼성전자주식회사 불휘발성 메모리 모듈 및 이를 포함하는 전자 장치
KR102410992B1 (ko) * 2015-11-26 2022-06-20 삼성전자주식회사 적층형 메모리 장치, 이를 포함하는 메모리 패키지 및 메모리 시스템
US10832127B2 (en) * 2015-11-30 2020-11-10 Samsung Electronics Co., Ltd. Three-dimensional integration of neurosynaptic chips
CN105742277B (zh) * 2016-04-13 2018-06-22 中国航天科技集团公司第九研究院第七七一研究所 一种大容量立体集成sram存储器三维扩展方法
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
US10600780B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing data bus circuit
US10593667B2 (en) 2016-10-07 2020-03-17 Xcelsis Corporation 3D chip with shielded clock lines
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10586786B2 (en) 2016-10-07 2020-03-10 Xcelsis Corporation 3D chip sharing clock interconnect layer
US10600735B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing data bus
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
CN110088897A (zh) 2016-10-07 2019-08-02 艾克瑟尔西斯公司 直接键合原生互连件和有源基部管芯
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
US10672744B2 (en) * 2016-10-07 2020-06-02 Xcelsis Corporation 3D compute circuit with high density Z-axis interconnects
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10170448B2 (en) 2016-12-07 2019-01-01 Micron Technology, Inc. Apparatus and method of power transmission sensing for stacked devices
US10804119B2 (en) * 2017-03-15 2020-10-13 STATS ChipPAC Pte. Ltd. Method of forming SIP module over film layer
US11609623B2 (en) * 2017-09-01 2023-03-21 Qualcomm Incorporated Ultra-low power neuromorphic artificial intelligence computing accelerator
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
CN109147835B (zh) * 2018-09-27 2024-02-09 长鑫存储技术有限公司 电源系统及半导体封装集合体
WO2020063827A1 (en) * 2018-09-27 2020-04-02 Changxin Memory Technologies, Inc. Power supply system and semiconductor package assembly
CN109147834B (zh) * 2018-09-27 2024-02-13 长鑫存储技术有限公司 电源系统及半导体封装集合体
WO2020063720A1 (en) 2018-09-27 2020-04-02 Changxin Memory Technologies, Inc. Power supply system and semiconductor package assembly
US10691861B2 (en) * 2018-10-22 2020-06-23 Arm Limited Integrated circuit design
US10607938B1 (en) 2018-10-26 2020-03-31 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
US11139270B2 (en) 2019-03-18 2021-10-05 Kepler Computing Inc. Artificial intelligence processor with three-dimensional stacked memory
US11836102B1 (en) 2019-03-20 2023-12-05 Kepler Computing Inc. Low latency and high bandwidth artificial intelligence processor
US11152343B1 (en) 2019-05-31 2021-10-19 Kepler Computing, Inc. 3D integrated ultra high-bandwidth multi-stacked memory
US11844223B1 (en) 2019-05-31 2023-12-12 Kepler Computing Inc. Ferroelectric memory chiplet as unified memory in a multi-dimensional packaging
US11397460B2 (en) * 2019-06-20 2022-07-26 Western Digital Technologies, Inc. Intelligent power saving mode for solid state drive (ssd) systems
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
US20210375873A1 (en) * 2020-06-01 2021-12-02 Intel Corporation Monolithic memory stack
KR20220030348A (ko) 2020-08-27 2022-03-11 삼성전자주식회사 메모리 장치
US11315628B1 (en) * 2020-10-21 2022-04-26 Arm Limited Techniques for powering memory
US20210313991A1 (en) * 2021-06-17 2021-10-07 Intel Corporation Circuit Systems And Methods For Reducing Power Supply Voltage Droop
US11791233B1 (en) 2021-08-06 2023-10-17 Kepler Computing Inc. Ferroelectric or paraelectric memory and logic chiplet with thermal management in a multi-dimensional packaging

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594169B2 (en) * 2001-02-21 2003-07-15 Kabushiki Kaisha Toshiba Semiconductor memory device and memory system
US20090125687A1 (en) * 2007-11-09 2009-05-14 Samsung Electronics Co., Ltd. Method of controlling internal voltage and multi-chip package memory prepared using the same
US20100332859A1 (en) * 2009-06-26 2010-12-30 Jon David Trantham Systems, methods and devices for control and generation of programming voltages for solid-state data memory devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030122429A1 (en) * 2001-12-28 2003-07-03 Zhang Kevin X. Method and apparatus for providing multiple supply voltages for a processor
KR100786603B1 (ko) * 2002-11-28 2007-12-21 가부시끼가이샤 르네사스 테크놀로지 메모리 모듈, 메모리시스템 및 정보기기
JP4419049B2 (ja) * 2003-04-21 2010-02-24 エルピーダメモリ株式会社 メモリモジュール及びメモリシステム
US8513791B2 (en) * 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US20080291767A1 (en) * 2007-05-21 2008-11-27 International Business Machines Corporation Multiple wafer level multiple port register file cell
US7906853B2 (en) 2007-09-06 2011-03-15 Micron Technology, Inc. Package structure for multiple die stack
TWI423403B (zh) 2007-09-17 2014-01-11 Ibm 積體電路疊層
US7532785B1 (en) * 2007-10-23 2009-05-12 Hewlett-Packard Development Company, L.P. Photonic interconnects for computer system devices
US8064739B2 (en) 2007-10-23 2011-11-22 Hewlett-Packard Development Company, L.P. Three-dimensional die stacks with inter-device and intra-device optical interconnect
KR101393311B1 (ko) * 2008-03-19 2014-05-12 삼성전자주식회사 프로세스 변화량을 보상하는 멀티 칩 패키지 메모리
US9105323B2 (en) * 2009-01-23 2015-08-11 Micron Technology, Inc. Memory device power managers and methods
US8400781B2 (en) 2009-09-02 2013-03-19 Mosaid Technologies Incorporated Using interrupted through-silicon-vias in integrated circuits adapted for stacking

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594169B2 (en) * 2001-02-21 2003-07-15 Kabushiki Kaisha Toshiba Semiconductor memory device and memory system
US20090125687A1 (en) * 2007-11-09 2009-05-14 Samsung Electronics Co., Ltd. Method of controlling internal voltage and multi-chip package memory prepared using the same
US20100332859A1 (en) * 2009-06-26 2010-12-30 Jon David Trantham Systems, methods and devices for control and generation of programming voltages for solid-state data memory devices

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
AMIRALI SHAYAN ET.AL: "3D Power Distribution Network Co-design for Nanoscale Stacked Silicon ICs", 《ELECTRICAL PERFORMANCE OF ELECTRONIC PACKAGING, 2008》 *
ANANTHA P. CHANDRAKASAN, ET.AL: "Technologies for Ultradynamic Voltage Scaling", 《PROCEEDINGS OF THE IEEE》 *
WANGYUAN ZHANG, ET.AL: "Exploring Phase Change Memory and 3D Die-Stacking for Power/Thermal Friendly, Fast and Durable Memory Architectures", 《2009 18TH INTERNATIONALCONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES》 *
XIAOXIA WU, ET.AL: "Design Exploration of Hybrid Caches with Disparate Memory Technologies", 《ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION》 *

Also Published As

Publication number Publication date
CN103493137B (zh) 2016-03-16
EP2691957A4 (en) 2015-06-24
WO2012135772A3 (en) 2013-03-14
CN103493137A (zh) 2014-01-01
KR101592078B1 (ko) 2016-02-18
US20120250443A1 (en) 2012-10-04
EP2691957A2 (en) 2014-02-05
KR20130133014A (ko) 2013-12-05
EP2691957B1 (en) 2021-07-07
KR20150006072A (ko) 2015-01-15
CN104900249B (zh) 2017-12-15
WO2012135772A2 (en) 2012-10-04
US8547769B2 (en) 2013-10-01
KR101566259B1 (ko) 2015-11-05

Similar Documents

Publication Publication Date Title
CN103493137B (zh) 用于3d集成电路堆栈的能量有效配电
US10079489B2 (en) Power management in multi-die assemblies
JP6517131B2 (ja) 積層ic用の埋込み型受動デバイスを含む一体型電圧調整器
US11437910B2 (en) Power module
CN101233474B (zh) 具有管芯上电源选通电路的集成电路
US20060071649A1 (en) Apparatus and method for multi-phase transformers
CN105556820A (zh) 用于提供混合式电压调节器的设备、系统和方法
TWI498915B (zh) 具有適應性電源供應之受管理混合式記憶體
CN105684289A (zh) 连续电流模式多负载功率调节器
US20210257317A1 (en) Distributing on chip inductors for monolithic voltage regulation
Zhang et al. A cross-layer design exploration of charge-recycled power-delivery in many-layer 3D-IC
Pillonnet et al. 3D ICs: An opportunity for fully-integrated, dense and efficient power supplies
Lin et al. Efficient backside power delivery for high-performance computing systems
Najibi et al. Enabling optimal power generation of flow cell arrays in 3D MPSoCs with on-chip switched capacitor converters
Amelifard et al. Design of an efficient power delivery network in an soc to enable dynamic power management
Ciprut Grids in very large scale integration systems
Mazumdar et al. Breaking the 3D IC power delivery wall
CN113097188A (zh) 模块化功率组件以及风电变流器
Huang et al. Hierarchical Active Voltage Regulation for Heterogeneous TSV 3D-ICs
Bhardwaj Decoupling Capacitance

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant