TWI527392B - 建置電源網路之裝置與方法 - Google Patents

建置電源網路之裝置與方法 Download PDF

Info

Publication number
TWI527392B
TWI527392B TW103101319A TW103101319A TWI527392B TW I527392 B TWI527392 B TW I527392B TW 103101319 A TW103101319 A TW 103101319A TW 103101319 A TW103101319 A TW 103101319A TW I527392 B TWI527392 B TW I527392B
Authority
TW
Taiwan
Prior art keywords
power
power network
connection
blocks
analysis
Prior art date
Application number
TW103101319A
Other languages
English (en)
Other versions
TW201528715A (zh
Inventor
林昌賜
蒯定明
曾子維
Original Assignee
財團法人工業技術研究院
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 財團法人工業技術研究院 filed Critical 財團法人工業技術研究院
Priority to TW103101319A priority Critical patent/TWI527392B/zh
Priority to US14/326,070 priority patent/US9183345B2/en
Publication of TW201528715A publication Critical patent/TW201528715A/zh
Application granted granted Critical
Publication of TWI527392B publication Critical patent/TWI527392B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/10Noise analysis or noise optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

建置電源網路之裝置與方法
本揭露是有關於建置電源網路之裝置與方法。
關於電源傳輸網路(Power Delivery Network,or Power Distribution Network,or Power Network,本揭露以下統稱電源網路,英文縮寫為PDN)的設計已有眾多相關研究文獻。一般而言,電源網路具有多個節點,而電源網路品質主要受到傳輸線路電阻值(Resistance)的影響-即當電流流經一段電源傳輸線路後,會因為電阻值存在的緣故,而產生電壓的下降(Voltage Drop),也稱為IR下降(IR Drop)(以下簡稱「壓降」)。若電壓下降過多而造成電路系統,如:積體電路(Integrated Circuit,IC)之某區塊之電源網路之壓降過大的話,這將造成電路系統本身的功能穩定度下降,甚至造成電路系統功能的錯誤。而且,電源網路的品質好壞亦將影響到電源完整度(Power Integrity,PI)。
此外,由於電源網路一般為均勻化設計(例如:水平/垂直的電源線走線(Stripes)有相同的間距與寬度),有可能因各區域之電源需求不同而造成電源供應不足(因為電壓下降過大)的問題,或是電源供應過多(Overdesign)而造成浪費訊號繞線資源的問 題。因此,如何使電源網路避免上述問題乃業界當前所努力者。
第1圖為現有的積體電路之設計流程,其包括電路設計(Circuit Design)步驟102、平面配置(Floorplan)與電源網路規劃步驟104、電路單元擺置(Placement)與時脈樹(Clock Tree)產生步驟106、訊號繞線(Routing)步驟108、以及功耗分析(Power Analysis)步驟110。於功耗分析步驟110後,若需做電源網路之調整,甚至可能會從功耗分析步驟110再回到平面配置(Floorplan)與電源網路規劃步驟104,對電源網路重新規劃,例如增加電源網路密度(Dense PDN)、增加電源網路之走線寬度(Widen PDN)或是增加電源供應來源(Power Source)之個數。此作法需再重新執行步驟104至110,需要冗長的重新設計時間(Turn-Around Time),而拉長整個電路設計的時程,若重複上述步驟數次,將造成整個電路設計時程嚴重延遲。
本揭露之建置電源網路的裝置之一實施例,對一電路系統之一電源網路執行一第一功耗分析,根據該第一功耗分析之結果對應地產生多個區塊。將此些區塊分成至少三個子集合。更從此至少三個子集合中分別至少選擇一個區塊,由所選擇之此些區塊中各自選擇一節點,並連接此些節點,以形成該電源網路中具有至少三個節點且具順序性之一連線。
本揭露之實施範例提供一種建置電源網路之建置方法。本揭露之建置電源網路之建置方法之一實施範例包含下列步 驟。對一電路系統之一電源網路執行一第一功耗分析,以根據此第一功耗分析之結果對應地產生多個區塊。將此些區塊分成至少三個子集合。從此至少三個子集合中分別選擇一個區塊,從所選擇之此些區塊中各自選擇一節點,並連接此些節點以形成該電源網路中具有至少三個節點且具順序性之一連線。
為了對本發明之上述及其他方面有更佳的瞭解,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下:
A、B....、H‧‧‧區塊
10‧‧‧電路系統
12‧‧‧電源網路
20‧‧‧電源功耗分析器
30‧‧‧使用者介面
40‧‧‧儲存媒體
100‧‧‧建置電路系統之電源網路裝置
102~110、202~206、302~312‧‧‧流程步驟
P1、P2、P3‧‧‧連線
第1圖繪示現有的積體電路之設計流程圖。
第2圖繪示本揭露之一實施例之電源網路建置方法之流程圖。
第3圖繪示乃應用第2圖之電源網路建置方法實施例之積體電路設計之流程圖。
第4圖顯示具有多個連線或路徑之電源網路之一例的示意圖。
第5圖繪示為了建置電源網路之執行區塊。
第6圖為產生子集合之方式之一例的示意圖。
第7A~7C圖為產生多條路徑之方式之一例的示意圖。
第8圖為電源網路上之多條路徑之一例的示意圖。
第9圖為建置電源網路裝置之一實施例之方塊圖。
第10A~10B圖為產生多條路徑之方式之另一例的示意圖。
以下將參照隨附之圖式來描述本揭露之實施範例,而以下圖式所列舉之實施範例僅為輔助說明,以利貴審查委員瞭解應當理解,此處所描述之具體實施方式僅僅用以解釋本揭露,並不用於限定本揭露。
第2圖為依照本揭露之一實施例之電源網路建置方法之流程圖。首先,執行步驟202,對一電路系統之一電源網路執行一第一功耗分析,根據第一功耗分析之結果對應地產生多個區塊,每個區塊具有至少一節點。接著,執行步驟204,將此些區塊分成至少三個子集合。之後,執行步驟206,從此至少三個子集合中分別至少選擇一個區塊,從所選擇之此些區塊中各自選擇一節點,並連接此些節點以形成此電源網路中具有至少三個節點且具順序性之一連線。
請參照第3圖,其所繪示乃應用第2圖之電源網路建置方法實施例之電路設計流程。第3圖之電路設計流程包括電路設計步驟302、平面配置與電源網路規劃步驟304、電路單元擺置與時脈樹產生步驟306、訊號繞線步驟308、功耗分析步驟310及電源網路建置步驟312。第2圖之步驟202之對電源網路執行第一功耗分析係藉由步驟310完成,而步驟202的其他部份與步驟204與206係可統稱為電源網路建置步驟312。由第3圖所示之電路設計流程來看,所提出電源網路建置步驟312係位於訊號繞線步驟308之後,因此,於進行電源網路建置時,並不需回到平面配置 與電源網路規劃步驟304來重新設計電源網路,並重新執行電路單元擺置與時脈樹產生步驟306與訊號繞線步驟308而耗費大量的設計時間。由於應用本揭露一實施例之電源網路建置方法之電路設計流程可藉由重複執行功耗分析步驟310及電源網路建置步驟312來達到具有電源網路建置效果的電路系統,故可大幅節省電路系統的設計時間,而加快電路系統進入市場的時程。
更詳細地說,第2圖的步驟202係依照功耗分析的結果,形成多個區塊,並對區塊的位置以及區塊中之壓降的嚴重程度做分析。於步驟204中,係選擇部分或全部區塊當成執行區塊,並將此些執行區塊分成至少三個子集合,每個子集合至少含一執行區塊。於步驟206中,係從此至少三個子集合中分別選擇一個執行區塊,從所選擇之此些執行區塊中各自選擇一節點,並連接此些節點以形成電源網路中具有至少三個節點之一連線,亦即電源網路建置路徑。可於多個執行區塊形成多個建置電源網路連線或路徑。其結果之一例係如第4圖所示,多個建置電源網路之連線或路徑例如包含了連線P1、P2與P3。形成電源網路建置路徑時,係考量了所要連線的多個執行區塊以及連線順序(Order of Connections)。
基於上述,在此對所提出電源網路建置方法的每個步驟做詳細說明如下。如第5圖所示,假設對電壓0.65V至1.0V之電壓範圍每0.05V做一次分割,以得到7個電壓範圍,而0V至0.65V為一獨立的電壓範圍,共得到8個電壓範圍,此8個電壓範圍係作 為8個壓降範圍。而於進行第一功耗分析之後,將第一功耗分析之結果依照此8個壓降範圍產生8個區塊,例如在電路系統上劃分出A、B、C、...、及H共8個區塊,如第5圖所示。可從中選擇至少3個最多8個區塊作為電源網路建置的執行區塊。
接著,對所選擇的執行區塊分成至少3個子集合(Subsets)。茲以分成3個子集合為例說明之。假設第5圖之8個區塊皆被選擇為執行區塊。根據壓降的嚴重程度,如第6圖所示,將此8個執行區塊由A、B...、至H依序排列(由壓降最嚴重至最不嚴重的順序來排列)。之後將A及B執行區塊作為第一子集合,C、D及E執行區塊作為第二子集合,F、G及H執行區塊區作為第三子集合。依照以上方法,電路系統之電源網路將被有順序地依照壓降的嚴重程度,區分成三個子集合。其中,第一子集合含A及B執行區塊,其壓降在此範例中最嚴重;第二子集合含C、D及E執行區塊,其壓降程度較第一子集合輕微;第三子集合含F、G及H執行區塊區,其壓降程度在此範例中較第二子集合輕微,即,係此範例中最輕微的。
依據本揭露一實施例之電源網路建置方法,於電源網路中,接著係從此至少三個子集合中分別至少選擇一個執行區塊以形成電源網路建置路徑。如第7A圖所示,由三個子集合中分別選出A執行區塊、C與E執行區塊、及F執行區塊,並從A、C、E、及F執行區塊中各自選擇一節點,連接這些節點以形成第一路徑P1。第一路徑P1依序經過執行區塊F、E、C、A,如第8圖所示, 即由壓降最輕微的第三子集合,依壓降程度順序連到壓降程度最嚴重的第一子集合。接著,如第7B圖所示,由三個子集合中分別選出B執行區塊、C執行區塊、及G執行區塊,並從B、C、及G執行區塊各自選擇一節點,連接這些節點以形成第二路徑P2。第二路徑P2依序經過執行區塊G、C、B,如第8圖所示。之後,如第7C圖所示,由三個子集合中分別選出A執行區塊、C執行區塊、及G執行區塊,並從A、C、及G執行區塊各自選擇一節點,連接這些節點以形成第三路徑P3。第三路徑P3依序經過執行區塊A、C、G,如第8圖所示,即由壓降最嚴重的第一子集合,依壓降程度順序連到壓降程度最輕微的第三子集合。因此,可根據壓降的嚴重程度與區塊位置,大量產生具「順序性」與「方向性」的電源網路網路建置路徑,本揭露一實施例更可決定路徑中途經過之執行區塊的數量與路徑的數量。由於區塊係為二維的區塊,故此揭露係以「面」的角度來解決上述之壓降問題。而且,由於本揭露使用原有的電路系統布局網路,故電路之總單元個數不會有所改變。
第9圖為建置電源網路裝置之一實施例之方塊圖。運用一電源功耗分析器20,電源網路裝置100對一電路系統10之一電源網路12執行一第一功耗分析,以根據此第一功耗分析之結果對應地產生多個區塊。將此些區塊分成至少三個子集合,從此至少三個子集合中分別至少選擇一個區塊,從所選擇之此些區塊中各自選擇一節點,並連接此些節點,以形成電源網路12中具有至 少三個節點且具順序性之一連線。
在本揭露之一實施範例中,此連線於兩兩節點之間各具有一線段,該些線段係可具不同之金屬線寬。此連線之節點係選自由輸入輸出墊片(I/O Pad)、環(Ring)、帶(Strips)、凸塊(Bumps)、金屬軌(Rail)、穿矽孔(Through Silicon Via,TSV)及其組合所組成的群組。在本揭露之另一實施範例中,連線可是水平、垂直或對角之金屬線或以上三者之組合。在本揭露之另一實施範例中,連線之接點可位於不同之金屬層,連線之線段也可位於不同之金屬層,故同一連線可跨越不同之金屬層,此金屬層為電路系統中之繞線金屬層。本揭露之另一實施範例中,在形成此連線時,係遵循一電路設計或檢查規則(Circuit Design Rule,or Design Rule Checking,DRC),或更進一步遵循一接點金屬層間接點之設計規則來形成。其中,此功耗分析包含電壓之壓降分析。
在本揭露之一實施範例中,當執行區塊繞出多個建置電源網路連線或路徑後,電源功耗分析器20可再進行一功耗分析,並重複本揭露之上述產生連線作法再產生另一連線,於執行區塊再繞出多個建置電源網路連線或路徑。例如,可於電源網路建置步驟312之後,重新回到功耗分析步驟310,以對已經具有多個電源網路建置路徑之積體電路進行再一次地功耗分析,並可重新執行電源網路建置步驟312以產生另一連線,以對電路系統的電源網路作進一步的建置或補償。另一連線之例如為第10A圖所示之由執行區塊A、C、E中之節點所組成,或是如第10B圖所示 之由執行區塊A、C、E、F中之節點所組成。其中,重新功耗分析,並對電源網路進行劃分的區塊後的區塊個數並不限於第10A圖或第10B圖所示之8個。重新執行功耗分析及進行上述產生連線之步驟的次數並不特別限制,可重複上述作法直至電路系統之電源具完整性或足夠之穩定度為止,或直到繞線空間使用完畢為止。
如第9圖所示,在本揭露之一實施範例中,此些區塊係根據至少兩個目標值被分成至少三個子集合,此至少兩個目標值例如係藉由使用者介面30來設定,或藉由讀取儲存媒體40來設定。此至少兩個目標值係小於電源網路之一操作電壓,操作電壓為介於一電源電壓與一接地電壓間,或是操作電壓等於此電源電壓。電源功耗分析器20用以執行功耗分析,電源功耗分析器20藉由分析該電源網路之各節點的壓降或接地彈跳雜訊(Ground Bounce Noise)至少二者之一,或是前二者之組合,來執行此功耗降分析。
而於另一實施例中,上述之此至少三個子集合中之各子集合可各自再分為至少三個次子集合,並重複本揭露建置電源網路連線或路徑之作法。即,可再做功耗分析,於多個次子集合中選擇次節點並形成連線,上述步驟不限次數。
若以下線之積體電路作為實驗載具,使用本揭露的電源建置方法進行實驗。茲以電源網路建置路徑分別為密度增加16.67%與密度增加32.47%為例說明之,然本揭露並不限於此。本揭露之電源網路建置路徑之數目可以依照功耗分析之結果而 定。譬如,於電源網路建置路徑之密度增加16.67%時,壓降改善的百分比可為33.08%,而當電源網路建置路徑之密度增加32.47%時,壓降改善的百分比可高達36.72%。可見,本揭露所提出方法不但可避免耗時的電源網路之重新設計,以減少晶片的設計時間並加快晶片進入市場的速度,且可有效的降低電源網路之壓降,以提升整體晶片的電源穩定度與電源品質。
綜上所述,雖然已以數個實施範例揭露如上,然其並非用以限定本揭露。本揭露所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作各種之更動與潤飾。因此,發明之保護範圍當視後附之申請專利範圍所界定者為準。
202、204、206‧‧‧流程步驟

Claims (26)

  1. 一種建置電路系統之電源網路的裝置,包括:一電源功耗分析器,用以對一電路系統之一電源網路執行一第一功耗分析,以根據該第一功耗分析之結果產生對應不同壓降範圍的複數個區塊;其中,該些區塊依據壓降的程度被分成至少三個子集合;其中,從該至少三個子集合中分別至少選擇一個區塊,從各個所選擇之區塊中各自選擇一節點,並連接該些節點,以形成該電源網路中具有至少三個節點且具順序性之一連線。
  2. 如申請專利範圍第1項所述之裝置,其中該裝置更具有一使用者介面或一儲存媒體,該些區塊係根據至少兩個目標值被分成該至少三個子集合,該至少兩個目標值係藉由該使用者介面來設定,或藉由讀取該儲存媒體來設定。
  3. 如申請專利範圍第2項所述之裝置,其中該至少兩個目標值係小於該電源網路之一操作電壓。
  4. 如申請專利範圍第3項所述之裝置,其中該操作電壓為介於一電源電壓與一接地電壓之間,亦可等於該電源電壓或該接地電壓。
  5. 如申請專利範圍第1項所述之裝置,其中該電源功耗分析器用以執行該第一功耗分析,該電源功耗分析器藉由分析該電源網路之壓降(IR Drops)及一接地彈跳雜訊(Ground Bounce Noise)至少二者之一來執行該第一功耗分析。
  6. 如申請專利範圍第1項所述之裝置,其中該連線於兩兩節點之間各具有一線段,該些線段係具不同或相同之金屬線寬。
  7. 如申請專利範圍第1項所述之裝置,其中該連線之接點可位於不同之金屬層。
  8. 如申請專利範圍第1項所述之裝置,其中該至少三個子集合中之各子集合可各自再分為至少三個次子集合。
  9. 如申請專利範圍第1項所述之裝置,其中該連線之節點係選自由輸入輸出墊片(I/O Pad)、環(Ring)、帶(Strips)、凸塊(Bumps)、金屬軌(Rail)、穿矽孔(Through Silicon Via,TSV)及其組合所組成的群組。
  10. 如申請專利範圍第1項所述之裝置,其中於形成該連線時,係遵循一電路設計或檢查規則,或更進一步遵循一接點金屬層間接點之設計規則來形成。
  11. 如申請專利範圍第1項所述之裝置,其中該連線包括水平、垂直或對角之金屬線或其組合。
  12. 如申請專利範圍第1項所述之裝置,其中於形成該連線後,該電源功耗分析器進行一第二功耗分析,並重複對應地產生該電源網路中具有至少三個節點且具順序性之另一連線。
  13. 如申請專利範圍第1項所述之裝置,其中該連線係依區塊順序相連,具面的特性。
  14. 一種電路系統之電源網路的建置方法,包括:對一電路系統之一電源網路執行一第一功耗分析,以根據該 第一功耗分析之結果產生對應不同壓降範圍的複數個區塊;將該些區塊依據壓降的程度分成至少三個子集合;以及從該至少三個子集合中分別至少選擇一個區塊,從各個所選擇之區塊中各自選擇一節點,並連接該些節點以形成該電源網路中具有至少三個節點且具順序性之一連線。
  15. 如申請專利範圍第14項所述之方法,更包括:設定至少兩個目標值,將該些區塊係根據該至少兩個目標值被分成該至少三個子集合,該至少兩個目標值係藉由一使用者介面來設定,或藉由讀取一儲存媒體來設定。
  16. 如申請專利範圍第15項所述之方法,其中該至少兩個目標值係小於該電源網路之一操作電壓。
  17. 如申請專利範圍第16項所述之方法,其中該操作電壓為介於一電源電壓與一接地電壓之間,該操作電壓亦可等於該電源電壓。
  18. 如申請專利範圍第14項所述之方法,其中該第一功耗分析係藉由一電源功耗分析器來執行,該電源功耗分析器藉由分析該電源網路之壓降(IR Drops)及一接地彈跳雜訊(Ground Bounce Noise)至少二者之一來執行該第一功耗分析。
  19. 如申請專利範圍第14項所述之方法,其中該連線於兩兩節點之間各具有一線段,該些線段係具不同或相同之金屬線寬。
  20. 如申請專利範圍第14項所述之方法,其中該連線之接點可位於不同之金屬層。
  21. 如申請專利範圍第14項所述之方法,其中該至少三個子集合中之各子集合可各自再分為至少三個次子集合。
  22. 如申請專利範圍第14項所述之方法,其中該連線之節點係選自由輸入輸出墊片(I/O Pad)、環(Ring)、帶(Strips)、凸塊(Bumps)、金屬軌(Rail)、穿矽孔(Through Silicon Via,TSV)及其組合所組成的群組。
  23. 如申請專利範圍第14項所述之方法,其中於形成該連線時,係遵循一電路設計或檢查規則,或更進一步遵循一接點金屬層間接點之設計規則來形成。
  24. 如申請專利範圍第14項所述之方法,其中該連線包括水平、垂直或對角之金屬線或其組合。
  25. 如申請專利範圍第14項所述之方法,更包括:於形成該連線後,進行一第二功耗分析,並重複對應地產生該電源網路中具有至少三個節點且具順序性之另一連線。
  26. 如申請專利範圍第14項所述之方法,其中該連線係依區塊順序相連,具面的特性。
TW103101319A 2014-01-14 2014-01-14 建置電源網路之裝置與方法 TWI527392B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW103101319A TWI527392B (zh) 2014-01-14 2014-01-14 建置電源網路之裝置與方法
US14/326,070 US9183345B2 (en) 2014-01-14 2014-07-08 Apparatus and method for generating a power delivery network

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW103101319A TWI527392B (zh) 2014-01-14 2014-01-14 建置電源網路之裝置與方法

Publications (2)

Publication Number Publication Date
TW201528715A TW201528715A (zh) 2015-07-16
TWI527392B true TWI527392B (zh) 2016-03-21

Family

ID=54198471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103101319A TWI527392B (zh) 2014-01-14 2014-01-14 建置電源網路之裝置與方法

Country Status (2)

Country Link
US (1) US9183345B2 (zh)
TW (1) TWI527392B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI611310B (zh) 2016-12-01 2018-01-11 財團法人工業技術研究院 電源容錯分析方法及系統
US10785867B2 (en) 2018-09-25 2020-09-22 International Business Machines Corporation Automatic determination of power plane shape in printed circuit board
CN111400988B (zh) * 2018-12-27 2023-08-22 北京忆芯科技有限公司 集成电路芯片的凸点(Bump)盘布局方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5999463A (en) 1997-07-21 1999-12-07 Samsung Electronics Co., Ltd. Redundancy fuse box and semiconductor device including column redundancy fuse box shared by a plurality of memory blocks
JP2000349161A (ja) 1999-06-08 2000-12-15 Fujitsu Ltd 電源配線設計方法、電源配線設計装置、及び、記録媒体
TWI266215B (en) 2002-08-12 2006-11-11 Silicon Integrated Sys Corp Method for analyzing power noise and method for reducing the same
CN1294642C (zh) 2002-08-21 2007-01-10 矽统科技股份有限公司 电源杂讯的分析方法及降低方法
EP1636841A1 (en) 2003-06-11 2006-03-22 Koninklijke Philips Electronics N.V. Power distribution network of an integrated circuit
US7603641B2 (en) 2003-11-02 2009-10-13 Mentor Graphics Corporation Power/ground wire routing correction and optimization
US7353490B2 (en) 2004-10-29 2008-04-01 Synopsys, Inc. Power network synthesizer for an integrated circuit design
US7434189B2 (en) 2005-10-20 2008-10-07 Broadcom Corporation I/O driver power distribution method for reducing silicon area
CN100468735C (zh) 2006-08-25 2009-03-11 威盛电子股份有限公司 集成电路的电源地网络及其布置方法
US7698677B2 (en) * 2007-03-31 2010-04-13 Freescale Semiconductor, Inc. On-chip decoupling capacitance and power/ground network wire co-optimization to reduce dynamic noise
US8051401B2 (en) 2008-10-15 2011-11-01 Arm Limited Post-routing power supply modification for an integrated circuit
CN101908080B (zh) 2009-06-03 2012-06-27 复旦大学 快速设计电源网络的方法
US8247906B2 (en) 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
KR101044293B1 (ko) 2009-10-30 2011-06-29 주식회사 엔타시스 다중 전압 도메인의 전력 배선망에서의 전원/접지 패드의 위치 및 개수를 최적화하는 방법
US8276110B2 (en) 2010-01-22 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing voltage drops in power networks using unused spaces in integrated circuits
US8286110B1 (en) 2010-12-27 2012-10-09 Cadence Design Systems, Inc. System and method for adapting electrical integrity analysis to parametrically integrated environment
US8631381B2 (en) 2011-02-24 2014-01-14 Cadence Design Systems, Inc. Method and system for power delivery network analysis
US8742618B2 (en) 2011-03-25 2014-06-03 Mediatek Inc. Method for designing power distribution network of circuit system and related circuit system
US8547769B2 (en) 2011-03-31 2013-10-01 Intel Corporation Energy efficient power distribution for 3D integrated circuit stack

Also Published As

Publication number Publication date
US20150199467A1 (en) 2015-07-16
US9183345B2 (en) 2015-11-10
TW201528715A (zh) 2015-07-16

Similar Documents

Publication Publication Date Title
US12067337B2 (en) Power grid, IC and method for placing power grid
US11151299B2 (en) Integrated circuit
CN109086468B (zh) 用于设计集成电路芯片的方法、系统及电脑程序产品
TWI527392B (zh) 建置電源網路之裝置與方法
CN103972157A (zh) 用于多重图案化技术的导线布线
CN105631087A (zh) 用于集成电路布局生成的方法、器件和计算机程序产品
JP2007287908A (ja) 半導体集積回路及び半導体集積回路の設計方法
US7340699B2 (en) Analysis apparatus for semiconductor LSI circuit electrostatic discharge by calculating inter-pad voltage between pads
TWI739266B (zh) 利用額外電力網格補償壓降的方法與電路系統
US8499268B2 (en) Method of supporting layout design of semiconductor integrated circuit
Tomioka et al. Monotonic parallel and orthogonal routing for single-layer ball grid array packages
US10216886B2 (en) Semiconductor device and method for designing a semiconductor device
KR20150000951A (ko) 전원 공급 네트워크 설계 방법
Bhooshan Novel and efficient IR-drop models for designing power distribution network for sub-100nm integrated circuits
US20080301618A1 (en) Method and System for Routing of Integrated Circuit Design
Tsai et al. Electromigration-and obstacle-avoiding routing tree construction
Zhong et al. Whitespace insertion for through-silicon via planning on 3-D SoCs
US20220051981A1 (en) Power supply conductive trace structure of semiconductor device and power supply conductive trace layout method of semiconductor device
Ewetz et al. A TSV-cross-link-based approach to 3D-clock network synthesis for improved robustness
JP4772988B2 (ja) 集積回路基板の電位の解析方法及び装置
CN113095034B (zh) 利用额外电力网格补偿压降的方法与电路系统
JP2001274255A (ja) 半導体集積回路の自動配置配線方法
US20220358271A1 (en) Method for analyzing electromigration (em) in integrated circuit
Fathi et al. A fault-tolerant routing algorithm in 3D topology manycore processors
Kariyappa Physical Design Implementation of SoC Module with Performance Optimization