CN104658946A - 集群批处理式基板处理系统 - Google Patents

集群批处理式基板处理系统 Download PDF

Info

Publication number
CN104658946A
CN104658946A CN201410690069.1A CN201410690069A CN104658946A CN 104658946 A CN104658946 A CN 104658946A CN 201410690069 A CN201410690069 A CN 201410690069A CN 104658946 A CN104658946 A CN 104658946A
Authority
CN
China
Prior art keywords
substrate
batch
processing
base plate
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410690069.1A
Other languages
English (en)
Inventor
朴商权
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tera Semicon Corp
Terra Semiconductor Inc
Original Assignee
Terra Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Terra Semiconductor Inc filed Critical Terra Semiconductor Inc
Publication of CN104658946A publication Critical patent/CN104658946A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了集群批处理式基板处理系统。本发明涉及的集群批处理式基板处理系统,其特征在于,具备:基板搬入部(1),用于搬入基板(40);基板搬运机器人(7),围绕旋转轴进行旋转,用于装载或卸载基板(40);以及多个批处理式基板处理装置(9:9a、9b),以基板搬运机器人(7)为中心配置成放射状。

Description

集群批处理式基板处理系统
技术领域
本发明涉及集群批处理式基板处理系统,更具体地,以基板搬运机器人为中心,将多个批处理式基板处理装置配置成放射状,从而最大限度地提高基板处理效率以及生产率的集群批处理式基板处理系统。
背景技术
为了制造半导体元件,必须进行在硅晶片等基板上沉积必要的薄膜的工艺。在薄膜沉积工艺中主要使用溅射法(Sputtering)、化学气相沉积法(CVD:Chemical Vapor Deposition)、原子层沉积法(ALD:Atomic layer Deposition)等。
溅射法是将在等离子体状态下生成的氩离子撞击靶材表面,使从靶材表面脱离的靶材物质以薄膜状态沉积在基板上的技术。溅射法虽然能够形成粘附性优异的高纯度薄膜,但是在形成具有高纵横比(High Aspect Ratio)的细微图案时存在局限性。
化学气象沉积法是将各种气体注入到反应腔室内,使通过热、光或等离子体等被高能量诱导的气体与反应气体进行化学反应,以在基板上沉积薄膜的技术。由于化学气相沉积法利用迅速发生的化学反应,因此很难控制原子的热力学(Thermodynamic)稳定性,而且使薄膜的物理特性、化学特性以及电子特性降低。
原子层沉积法是交替供给作为反应气体的源气体和吹扫气体,以在基板上沉积原子层单位的薄膜的技术。由于原子层沉积法为了克服阶梯覆盖性(Step Coverage)的局限性而利用表面反应的技术,因此适于形成具有高纵横比的细微图案,并且使薄膜具有优异的电子特性以及物理特性。
原子层沉积装置可以分为,向腔室内逐一装载基板以进行沉积工艺的单片式装置以及向腔室内装载多个基板以进行批量沉积工艺的批处理(Batch)式装置。
图1是示出现有的批处理式原子层沉积系统的侧剖视图,图2是图1的俯视图,图3是示出现有的批处理式原子层沉积系统的基板处理装置的立体图。
参照图1以及图2,现有的批处理式原子层沉积系统可以通过装载端口(Load Port)2将包含多个基板40的FOUP(Front Opening Unified Pod:前开式标准片盒)4搬入至系统内部而保管在FOUP装载部(FOUP stocker)3。放置于FOUP装载部3的FOUP装载台3a上而被保管的FOUP4可以通过FOUP搬运机器人5向FIMS(Front-opening Interface Mechanical Standard:前开式机械界面标准)门单元6紧贴,该FOUP搬运机器人5沿着向垂直方向延伸的FOUP搬运机器人导轨5a进行移动。基板搬运机器人7使用搬运叉7a从紧贴于FIMS门单元6而一面被打开的FOUP4′卸载基板40,基板搬运机器人7沿着基板搬运机器人导轨7b向下移动,从而能够使基板40层叠于晶舟50的支撑杆55上。
参照图1至图3,现有的批处理式原子层沉积系统的基板处理装置8具有形成腔室11的处理室10,该腔室11是通过装载基板40来进行沉积工艺的空间。并且,可以在处理室10的内部设置沉积工艺所需的供气部20、排气部30等部件。层叠有基板40的晶舟50可以进行升降运动,当晶舟50上升时,托架部51与处理室10封闭结合,突出部53可以插入到处理室10内部。
如上所述的现有的批处理式原子层沉积系统由于通过所具有的仅一个基板处理装置8来进行基板处理工艺,因此每单位时间处理基板的生产率低。此外,由于基板搬入部1以及基板搬运机器人7只对一个基板处理装置8搬运基板40,因此运转效率低,当基板处理装置8发生问题而停止时,需要中止批处理式原子层沉积系统整体的运转。
此外,如上所述的现有的批处理式原子层沉积系统的基板处理装置8可以具有能够容纳100个基板40高度的腔室11空间。因此,为了进行沉积工艺需要供给大量的工艺气体,以填充腔室11,从而存在供给工艺气体耗时以及浪费工艺气体的问题,并且存在沉积工艺后排出大量存在于腔室11内部的工艺气体也耗时的问题。
此外,要对不必要地宽大的腔室11内部层叠的所有约100个基板40可靠地进行原子层沉积,存在难以控制源气体以及吹扫气体的问题,结果只有对配置在特定位置上的基板40能够扎实进行原子层沉积。
为了解决上述问题,采用了如下方法:只有在扎实进行原子层沉积的特定位置配置基板40,而不完全进行原子层沉积的位置插入虚拟(dummy)基板41,从而对部分(约25个)基板40进行原子层沉积,但是通过该方法也无法解决浪费工艺气体以及排出工艺气体所需时间消耗增加的问题。
另外,再次参照图3,现有的批处理式原子层沉积系统的基板处理装置8,基板40与处理室10内周表面之间的距离d1'大于基板40与供气部20之间的距离d2'(d1'>d2')。即、由于现有的批处理式原子层沉积装置在处理室10内部(或腔室11)设有供气部20、排气部30等部件,从而导致处理室10的内部腔室11的体积不必要地变大。
此外,作为容易地承受腔室11内部压力的理想形态,现有的原子层沉积装置一般使用钟形的处理室10,但是,由于钟形腔室11的上部空间12结构,导致工艺气体的供给和排出耗时大,且浪费工艺气体。
发明内容
本发明为了解决如上所述的现有技术的所有问题而提出,目的在于提供一种集群批处理式基板处理系统,其以基板搬运机器人为中心将多个批处理式基板处理装置配置成放射状,从而最大限度地提高基板处理效率和生产率。
此外,本发明的目的在于提供一种集群批处理式基板处理系统,其通过最大限度地减小进行基板处理工艺的批处理式基板处理装置的内部空间尺寸,从而减少基板处理工艺中所使用的基板处理气体的使用量,同时顺利地供给和排出基板处理气体,从而大大缩短基板处理工艺时间。
为了实现上述目的,本发明的一实施方式涉及的集群批处理式基板处理系统,其特征在于,具备:基板搬入部,用于搬入基板;基板搬运机器人,围绕旋转轴进行旋转,用于装载或卸载基板;以及多个批处理式基板处理装置,以所述基板搬运机器人为中心配置成放射状。
根据如上构成的本发明,以基板搬运机器人为中心,将多个批处理式基板处理装置配置成放射状,从而最大限度地提高基板处理效率和生产率。
此外,本发明配置有多个批处理式装置,因此即使任一个批处理式基板处理装置发生问题,也能够通过其余的批处理式基板处理装置来进行基板处理工艺。
此外,本发明通过最大限度地减小进行基板处理工艺的批处理式基板处理装置的内部空间尺寸,从而减少基板处理工艺中所使用的基板处理气体的使用量,由此节省基板处理工艺成本。
此外,本发明通过最大限度地减小进行基板处理工艺的批处理式基板处理装置的内部空间尺寸,并顺利地供给和排出基板处理工艺中所使用的基板处理气体,从而大大缩短基板处理工艺时间,由此提高基板处理工艺的生产率。
附图说明
图1是示出现有的批处理式原子层沉积系统的侧剖视图。
图2是图1的俯视图。
图3是示出现有的批处理式原子层沉积系统的基板处理装置的立体图。
图4是示出本发明的一实施方式涉及的集群批处理式基板处理系统的侧剖视图。
图5是示出本发明的一实施方式涉及的集群批处理式基板处理系统的俯视图。
图6是示出本发明的另一实施方式涉及的集群批处理式基板处理系统的俯视图。
图7是示出本发明的一实施方式涉及的批处理式基板处理装置的立体图。
图8是图7的局部分解立体图。
图9是本发明的一实施方式涉及的批处理式基板处理装置的俯视图。
图10是本发明的一实施方式涉及的供气部以及排气部的放大立体图。
图11是示出本发明的一实施方式涉及的在上侧表面结合有加强筋的批处理式基板处理装置的立体图。
图12是示出本发明的一实施方式涉及的在外表面上设有加热器的批处理式基板处理装置的立体图。
图13是示出本发明的一实施方式涉及的以双重方式层叠批处理式基板处理装置的集群批处理式基板处理系统的侧剖视图。
附图标记
1:基板搬入部
2:装载端口(load port)
3:FOUP装载部(FOUP stocker)
4、4'、4":FOUP(前开式标准片盒)
5:FOUP搬运机器人
6、6':FIMS门单元
7:基板搬运机器人
8、9:批处理式基板处理装置
40:基板
100:基板处理部
110:基板处理部内部空间
120、130:加强筋
150、160:加热器
200:供气部
250:供气流道
251:供气管
252:吐气孔
300:排气部
350:排气流道
351:排气管
352:排气孔
400:壳体
450:歧管
500:基板装载部
d1:基板与基板处理部内周表面之间的距离
d2:基板与供气流道之间的距离
CS:冷却部
实施发明的具体形式
参照图示的附图,对可实施本发明的特定实施方式的本发明进行详细说明。通过这些实施方式,所属领域的技术人员能够充分实施本发明。虽然本发明的各种实施方式相互不同,但不应理解为相互排斥,例如,记载于此的特定形状、结构以及特性在一实施方式中,在不脱离本发明的精神以及范围的基础上能够以其他实施方式体现。此外,应理解为,每个公开的实施方式中的个别的构成要素的位置或配置在不脱离本发明的精神以及范围的基础上能够变更。因此,后述的详细说明并非旨在限定,确切地讲,本发明的范围仅限于其权利要求所主张的均等的所有范围以及添付的权利要求。附图中类似的附图标记在几个侧面具有相同或类似的功能,而且,为了便于表示,也有可能夸张表现长度、面积、厚度等其形状。
应理解为,本说明书中的基板包括半导体基板、在LED、LCD等显示装置中使用的基板、太阳能电池基板等。
此外,在本说明书中,基板处理工艺意味着沉积工艺,优选为使用原子层沉积法的沉积工艺,但并非限定于此,也可以理解为包括使用化学气相沉积法的沉积工艺、热处理工艺等。只是,下面对使用原子层沉积法的沉积工艺的示例进行说明。
下面参照附图来详细说明本发明的实施方式涉及的集群批处理式基板处理系统。
图4是示出本发明的一实施方式涉及的集群批处理式基板处理系统的侧剖视图,图5是示出本发明的一实施方式涉及的集群批处理式基板处理系统的俯视图,图6是示出本发明的另一实施方式涉及的集群批处理式基板处理系统的俯视图。
参照图4以及图5,本发明的一实施方式涉及的集群批处理式基板处理系统具备基板搬入部1(2、3、5、6)、基板搬运机器人7以及以基板搬运机器人7为中心配置成放射状的批处理式基板处理装置9(9a、9b)。每个批处理式基板处理装置9可以彼此邻接地配置在基板搬运机器人7(即、配置有基板搬运机器人7的空间)的一侧。虽然图5中示出以基板搬运机器人7为中心配置有两个批处理式基板处理装置9的结构,但是也可以以基板搬运机器人7为中心,将如图6的(a)所示的三个批处理式基板处理装置9′(9a′、9b′、9c′)或如图6的(b)所示的四个批处理式基板处理装置9"(9a"、9b"、9c"、9d")或其以上的批处理基板处理装置9配置成放射状。但是为了便于说明,本说明书中以配置有两个批处理式基板处理装置9(9a、9b)的结构作为示例进行说明。此外,基板搬入部1以及基板搬运机器人7的结构属于所属领域的公知技术范畴,因此,下面除了主要的结构特征之外省略详细的说明。
基板搬入部1是将从外部搬入基板40而移送至基板搬运机器人7的结构的统称。基板搬入部1可以具备装载端口(load port)2、FOUP装载部(FOUPstocker)3、FOUP搬运机器人5以及FIMS门单元6。
包含多个基板40的FOUP(Front Opening Unified Pod:前开式标准片盒)4可以通过外部的FOUP输送机系统(未图示)搬运至装载端口2并被载放。为了增加基板处理量,可以具有至少两个用于载放FOUP4的装载端口2。
FOUP装载部3可以提供将通过装载端口2搬入的FOUP4在进行基板处理工艺之前载放在多个FOUP装载台3a上以等待的场所。作为一例,FOUP装载部3内可以装载有14个FOUP 4。
FOUP搬运机器人5可以将载放在装载端口2上的FOUP4搬运至FOUP装载部3或者将载放在FOUP装载部3上的FOUP4搬运至FIMS(Front-openingInterface Mechanical Standard:前开式机械界面标准)门单元6。FOUP搬运机器人5可以沿着垂直方向延伸的FOUP搬运机器人导轨5a进行上下运动或旋转运动。
FIMS门单元6可以提供以无尘状态下将FOUPP4内部的基板40搬运至批处理式基板处理装置9的通道。通过FOUP搬运机器人5从FOUP装载部3搬运至FIMS门单元6的FOUP 4可以紧贴于FIMS门单元6而与FIMS门单元6封闭结合。在该状态下,紧贴于FIMS门单元6的FOUP 4的一面被打开,通过被打开的一面,基板40可以由基板搬运机器人7搬出。可以设置至少两个FIMS门单元,以便能够向多个批处理式基板处理装置9内部搬出大量基板40。
基板搬运机器人7可以将通过基板搬入部1(即、FIMS门单元6)搬入的基板40装载至批处理式基板处理装置9或从批处理式基板处理装置9卸载。基板搬运机器人7可以沿着垂直方向延伸的纵式基板搬运机器人导轨7b进行上下运动,并且可以以纵式基板搬运机器人导轨7b的旋转轴为基准进行旋转运动。基板搬运机器人7在以纵式基板搬运机器人导轨7b的旋转轴为基准进行旋转的过程中,使基板40与作为装载对象的批处理式基板处理装置9对齐成直线的状态下,可以通过延伸搬运叉7a将基板40装载至该批处理式基板处理装置9的内部。当然,当从批处理式基板处理装置9的内部卸载基板40时进行与上述装载过程相反的顺序。
基板搬运机器人7包括五个搬运叉7a,能够一次向批处理式基板处理装置9的基板装载部500装载5个基板40,从而能够缩短工艺时间。作为一例,当FOUP4内装载有25个基板40时,基板搬运机器人7可以通过往返五次来将基板40装载至基板装载部500上。当然,也可以选择性地将1至5个基板40装载至批处理式基板处理装置9的基板装载部500上。作为一例,当FOUP4内装载有24个基板40时,可以以每次搬运5个搬运四次之后再搬运4个的方式来搬运基板40。此外,也可以根据装载于FOUP4上的基板40的数量来任意变更搬运叉7a的数量。假设FOUP4内装载有24个基板40时,可将搬运叉7a的数量设置成相当于24的约数的4或6,以提高基板40的搬运效率。
本发明的集群批处理式基板处理系统,其特征在于,具备多个批处理式基板处理装置9,该批处理式基板处理装置9以基板搬运机器人7为中心配置成放射状,该基板搬运机器人7围绕旋转轴进行旋转。因此,与基板搬入部1以及基板搬运机器人7只对应于一个基板处理装置8进行基板处理工艺的现有技术(参照图1以及图2)不同,本发明根据批处理式基板处理装置9的数量,生产率成比例地大幅提高。此外,基板搬运机器人7无需进行水平运行,而是围绕旋转轴进行旋转的方式将通过基板搬入部1搬入的基板40直接装载至批处理式基板处理装置9或从批处理式基板处理装置9卸载,从而能够大大缩短搬运基板40所需的工艺时间。这是通过将批处理式基板处理装置9以基板搬运机器人7为中心配置成放射状而可以得到的效果。
此外,本发明由于将多个批处理式基板处理装置9以基板搬运机器人7为中心配置成放射状,因此当任一个批处理式基板处理装置9a、9b发生问题而停止时,运转其余的批处理式基板处理装置9a、9b,从而可以不中止整个系统运转。如图5所示,当批处理式基板处理装置9a、9b发生问题时,使用者可以从每个批处理式基板处理装置9a、9b的任一侧的门(未图示)进入(M2、M3),从而容易地进行修理、管理等。当然,当基板搬运机器人7发生问题时,也可以从一侧的门(未图示)进入(M1)而进行修理、管理等。
再次参照图4,本发明的集群批处理式基板处理系统的基板搬入部1还可以具备冷却部CS,该冷却部CS用于冷却在批处理式基板处理装置9中经过基板处理工艺后被卸载的基板40。本发明,由于在多个批处理式基板处理装置9中进行处理的基板40数量大幅增加,所以能够冷却大量基板40时才不影响生产率以及效率,从而能够实现本发明的目的。因此,通过在冷却部CS还设置至少一个以上的FIMS门单元6′,从而可以通过基板搬运机械人7将从批处理式基板处理装置9卸载的基板40收容在紧贴于FIMS门单元6′的FOUP4"中,以进行冷却。图4以及图5中示出通过在冷却部CS配置FOUP 4"来冷却基板40的结构,但是除了FOUP 4"以外,也可以设置晶舟(未图示)来收容基板40。此外,冷却部CS内还可以具备用于提高冷却效率的风扇单元(未图示)、通风管(未图示)等。
下面对批处理式基板处理装置9的结构进行详细说明。
图7是示出本发明的一实施方式涉及的批处理式基板处理装置9的立体图,图8是图7的局部分解立体图,图9是本发明的一实施方式涉及的批处理式基板处理装置的俯视图,图10是本发明的一实施方式涉及的供气部200以及排气部300的放大立体图。
参照图7至图9,本实施方式涉及的批处理式基板处理装置9具备基板处理部100以及供气部200。
可以说基板处理部100起到处理室的功能。基板处理部100提供腔室110空间,该腔室110空间内收容有层叠多个基板100的基板装载部500,并且能够进行沉积膜形成工艺等基板处理工艺。为了最大限度地减小腔室110空间以避免工艺气体的浪费并提高产品收率,本发明的批处理式基板处理装置9的高度可以是现有批处理式基板处理装置8的一半以下。由此,腔室110空间尺寸也是图1以及图3中示出的腔室11空间的一半以下。
基板处理部100的材质可以是石英(Quartz)、不锈钢(SUS)、铝(Aluminium)、石墨(Graphite)、碳化硅(Silicon carbide)和氧化铝(Aluminiumoxide)中的至少一种。
根据本发明的一实施方式,最优选为在基板处理部100的腔室110空间内处理25个基板40,但是只要是能够实现本发明目的的范围内,也可以处理4至64个基板40。当基板处理部100收容少于4个数量的基板40时,反而降低生产率以及效率,当基板处理部100收容多于64个数量的基板40时,与现有的批处理式原子层沉积系统相同地,存在使用大腔室11而出现的问题。使用者也可以通过在层叠基板40的上端、下端或特定位置插入规定的虚拟基板41以提高收率。
现有的批处理式原子层沉积系统的基板处理装置8虽然具有可容纳约100个基板40的腔室11空间,但是如果除去虚拟基板41,则只能够处理约25至30个基板40。其结果,如果考虑在一个基板处理装置9中处理25个基板40的本发明的优选实施方式,则在多个批处理式基板处理装置9中可以通过一次基板处理工艺处理50个基板40,因此本发明相对于现有的批处理式原子层沉积系统,显著提高生产率。
此外,减少向相对于现有装置减小至一半以下的腔室110空间供给的工艺气体的使用量,且缩短沉积工艺后排出残留于腔室110内部的工艺气体所需的时间。
此外,在相对于现有装置减小至一半左右的腔室110内,易于控制进行原子层沉积的源气体以及吹扫气体,从而提高完成基板处理工艺的基板40的收率以及质量。
供气部200可以提供收容有至少一个供气流道250的空间210,以在基板处理部100的一侧外周表面上突出的结构形成,能够向基板处理部100的内部空间110供给基板处理气体。其中,供气流道250是可从外部接收基板处理气体并向基板处理部100的内部进行供给的通道,可以具有管状、中空状等形状,特别是,为了精密地控制基板处理气体的供给量,优选以管状构成。下面,对由三个供气管251构成供气流道250的示例进行说明。
另外,排气部300提供收容有至少一个排气流道350的空间310,以在基板处理部100的另一侧外周表面上(即供气部200的相反侧)突出的结构形成,能够排出流入到基板处理部100内部空间110的基板处理气体。其中,排气流道350是可以将基板处理部100内部的基板处理气体向外部排出的通道,可以具有管状、中空状等形状,特别是,为了顺畅地排出基板处理气体,优选以大于供气管251直径的管形成。另一方面,排气流道350也可以形成为,不具有排气管351的中空状,也可以在排气流道350上连接泵来抽吸基板处理气体而排出。下面,对由一个排气管351构成排气流道350的示例进行说明。
基板处理部100的外周表面可以与供气部200的外周表面连接成一体。此外,基板处理部100的外周表面可以与排气部300的外周表面连接成一体。考虑到这种结构,优选供气部200和排气部300的材质与基板处理部100的材质相同。基板处理部100、供气部200以及排气部300的外周表面之间的连接可以通过以下方式实现:分别单独制造基板处理部100、供气部200以及排气部300后,可以通过焊接等方式结合基板处理部100、供气部200以及排气部300。此外,也可以通过以下方式实现:首先制造具有一定厚度的基板处理部100,之后,对基板处理部100的外周表面上的除了向一侧和另一侧突出的部位以外的其他部位进行切削加工,使供气部200以及排气部300在基板处理部100上形成为一体。
本实施方式涉及的批处理式基板处理装置9还可以具有壳体(Housing)400和基板装载部500。壳体400底面开放,并形成为一侧突出的圆筒形,以便包围基板处理部100以及供气部200,壳体400的顶面侧可以支撑设置在批处理式基板处理装置9a、9b的上方。参照图9,为了起到形成基板处理部100以及供气部200的热环境的隔热体作用,壳体400可以形成为,一侧和另一侧突出的组装(bulk)体,或一侧和另一侧朝垂直方向突出的圆环形态的单位体410,以便包围基板处理部100以及供气部200的外周,可以用不锈钢、铝等形成壳体400的最外层420。此外,在壳体400内侧表面可以设置加热器430,该加热器430由折弯部(作为一例,形成为“∪”或“∩”形状)连续连接而形成。
基板装载部500设置成可通过公知的升降机系统(未图示)进行升降,并且具有主托架部510、辅助托架部520以及基板支撑部530。
主托架部510大致呈圆筒形,可以安装在批处理式基板处理装置9a、9b、9c、9d的底面等,并且上方与歧管(Manifold)450封闭结合,该歧管450与壳体400的下端部侧结合。
辅助托架部520大致呈圆筒形,安装在主托架部510的上方,并且直径小于基板处理部100的内径,插入在基板处理部100的内部空间110。辅助托架部520可以设置成,与电机(未图示)可联动地旋转,为了确保半导体制造工艺的均匀性,基板40能够在基板处理工艺过程中旋转。此外,为了确保工艺的可靠性,在辅助托架部520内部可以设置用于在基板处理过程中从基板40的下侧施加热的辅助加热器(未图示)。装载保存在基板装载部500上的基板40在基板处理工艺之前可通过所述辅助加热器进行预热。
沿着辅助托架部520的边缘部侧隔着间隔设置多个基板支撑部530。在朝向辅助托架部520的中心侧的基板支撑部530的内表面分别形成有相互对应的多个支撑槽。支撑槽内插入支撑有基板40的边缘部,由此,通过基板搬运机器人7经由基板搬入部1搬入的多个基板40以上下层叠的方式装载保存在基板装载部500上。
基板装载部500在升降过程中可以可拆卸地结合在歧管450的下端,该歧管450的上端与基板处理部100的下端以及供气部200的下端结合。供气连接管253插入连通于歧管450的供气连通孔451,排气连接管353插入连通于歧管450的排气连通孔455,其中,供气连接管253从构成供气部200的供气流道250的供气管251延伸,排气连接管353从构成排气部300的排气流道350的排气管351延伸。此外,当基板装载部500上升使基板装载部500的主托架部510的顶面结合在歧管450的下端侧时,基板400被装载至基板处理部100的内部空间110,基板处理部100处于密封状态。为了稳定的密封,可以在歧管450和基板装载部500的主托架部510之间设置密封部件(未图示)。
参照图8以及图9,基板处理部100与壳体400形成同心,并设置在壳体400的内部,壳体400可以设置成,包裹连接成一体的基板处理部100、供气部200以及排气部300的形状。
在供气部200的内部空间210可以收容供气流道250。参照图9以及图10的(a),供气流道250具有:多个供气管251,沿着供气部200的长度方向形成;多个吐气孔252,朝向基板处理部100,形成在供气管251的一侧。吐气孔252分别在各供气管251上形成多个。此外,从供气管251连通的供气连接管253插入连通于形成在歧管450上的供气连通孔451。
在排气部300的内部空间310可以收容排气流道350。参照图9以及图10的(b),排气流道350具备:排气管351,沿着排气部300的长度方向形成;多个排气孔352,朝向基板处理部100,形成在排气管351的一侧。排气孔352在排气管351上形成多个。此外,从排气管351连通的排气连接管353插入连通于形成在歧管450上的排气连通孔455。
当基板装载部500结合在歧管450上,使多个基板40收容于基板处理部100时,优选,吐气孔252和排气孔352分别位于被基板支撑部530支撑的相邻的基板40与基板40之间的间隙上,以便向基板40均匀地供给基板处理气体,并容易地吸入基板处理气体后向外部排出。
由于供气部200和排气部300从基板处理部100的外周表面突出形成,因此,基板40与供气流道250之间的距离d2可相同或大于基板40与基板处理部100的内助表面之间的距离d1。即、在图3所示现有技术中,由于在进行基板处理工艺的处理室10的内部空间11内设置有供气部20或排气部30,因此基板40与处理室10内周表面之间的距离d1'大于基板40与供气部20之间的距离d2'(d1'>d2')。与现有技术不同,本发明在基板处理部100的外部设置供气部200或排气部300以满足d1≤d2的条件,从而能够将基板处理部100的内部空间110减小至能够收容基板装载部500的最小尺寸(或能够收容基板40的最小尺寸)。由此,通过减小进行基板处理工艺的基板处理部100的内部空间110尺寸,不仅能够减少基板处理气体的使用量并且相应地降低基板处理工艺成本,而且,由于缩短基板处理气体的供给时间和排出时间,从而相应地提高基板处理工艺的生产率。
图11是示出本发明的一实施方式涉及的在上侧表面结合有加强筋120、130的批处理式基板处理装置9的立体图。
与以钟形的现有批处理式基板处理装置8的处理室10结构不同,本发明的批处理式基板处理装置9的基板处理部100具有圆柱状,并且顶面可以具有平坦的形状。通过使基板处理部100的顶面具有平坦的结构,以去除无法收容基板40的钟形腔室11的上部空间12(参照图1以及图3),从而进一步减小基板处理部100的内部空间110尺寸。只是,与现有的钟形腔室11相比,无法均匀地分散内部压力,为了解决由此产生的耐久性问题,本发明的批处理式基板处理装置9的特征在于,基板处理部100的顶面上结合有多个加强筋120、130。
加强筋120、130的材质可以与基板处理部100的材质相同,但并非限定于此,在能够支撑基板处理部100的顶面的目的范围内,可使用各种材质。
如图11的(a)所示,加强筋120、130可以设置成,将多个加强筋121、122交叉配置,并结合在基板处理部100的顶面上,或如图11的(b)所示,将多个加强筋131、132平行配置,并结合在基板处理部100的顶面上。加强筋120、130可以通过焊接方式等结合在基板处理部100的顶面上。
图12是示出本发明的一实施方式涉及的在外表面设有加热器150、160的批处理式基板处理装置9的立体图。
参照图12,如图8所示,可以在壳体400的内侧表面设置加热器430,或者可以在基板处理部100的顶面以及外周表面设置用于加热基板40的加热器150、160,而不在壳体400的内侧表面设置加热器430。虽然未图示,根据需要也可以在供气部200以及排气部300的顶面以及外周表面设置加热器。
加热器150、160可以形成为板状,以有效地向基板处理部100的内部空间110进行热传递,其可以由选自石墨(Graphite)和碳(Carbon)复合体中的任一种形成。或者,加热器150、160可由选自碳化硅(Silicon carbide)或钼中的任一种形成,或者可以由铬铝钴耐热钢(Kanthal)形成。
图13是示出本发明的一实施方式涉及的以双重方式层叠批处理式基板处理装置9的集群批处理式基板处理系统的侧剖视图。图13中,除了批处理式基板处理装置9a'、9b'在批处理式基板处理装置9a、9b的上侧层叠成双重方式的结构以外,其他结构与图4以及图5的集群批处理式基板处理系统相同,因此省略对其的说明。
相对于现有的基板处理装置8,由于批处理式基板处理装置9a、9a'、9b、9b'的腔室空间11减小至一半以下,因此即使形成双重层叠结构,其高度与现有的基板处理装置8的高度没有较大差异。由此,通过在上侧和下侧将相同结构的批处理式基板处理装置9a、9a'、9b、9b'层叠成双重方式,从而能够进一步提高生产率。
这样,本发明的集群批处理式基板处理系统围绕旋转轴进行旋转的基板搬运机器人7为中心,将多个批处理式基板处理装置9配置成放射状,从而能够最大限度地提高基板处理的生产率以及基板搬运效率,而且可以通过减少基板处理气体的使用量来节省工艺成本,并通过缩短基板处理气体的供给以及排出时间来提高工艺效率。
此外,由于具备能够顺利地冷却经过基板处理的大量基板40的空间、即冷却部CS,从而能够进一步提高基板处理的生产率以及工艺效率。
此外,通过将收容有供气流道250的供气部200以及收容有排气流道350的排气部300与进行基板处理工艺的基板处理部100分离配置,同时使基板处理部100的顶面具有平坦的结构,从而能够最大限度地减小基板处理部100的内部空间110尺寸,能够进一步提高如上所述的基板处理的生产率以及工艺效率。
此外,通过最大限度地减小批处理式基板处理装置9的内部空间110尺寸,从而易于控制进行原子层沉积的源气体以及吹扫气体,由此提高产品的收率以及质量。
此外,由于基板搬运机器人7向多个批处理式基板处理装置9搬运基板40,因此运转效率优异,而且即使发生问题也无需中止整个系统的运转,并且能容易地修理以及管理每个批处理式基板处理装置9。
如上所述,通过优选实施方式进行图示并加以说明,但是本发明并非限定于上述实施方式,在不脱离本发明的精神的范围内,所属领域的技术人员可以进行各种变形和变更。但是,这些变形例以及变更例应视为属于本发明和所添附的权利要求书范围内。

Claims (20)

1.一种集群批处理式基板处理系统,其特征在于,具备:
基板搬入部,用于搬入基板;
基板搬运机器人,围绕旋转轴进行旋转,用于装载或卸载基板;以及
多个批处理式基板处理装置,以所述基板搬运机器人为中心配置成放射状。
2.根据权利要求1所述的集群批处理式基板处理系统,其特征在于,
配置有两个所述批处理式基板处理装置,所述批处理式基板处理装置彼此邻接地配置在所述基板搬运机器人的一侧。
3.根据权利要求1所述的集群批处理式基板处理系统,其特征在于,所述基板搬入部具备:
装载端口;
FOUP装载部,用于保管通过所述装载端口搬入的FOUP(前开式标准片盒);
FOUP搬运机器人,用于从所述装载端口向所述FOUP装载部搬运FOUP、或者从所述FOUP装载部向FIMS门单元搬运FOUP;以及
FIMS(前开式机械界面标准)门单元,提供从所述FOUP向所述基板搬运机器人搬出所述基板的通道。
4.根据权利要求3所述的集群批处理式基板处理系统,其特征在于,
所述基板搬入部还具备冷却部,该冷却部用于冷却从所述批处理式基板处理装置卸载的所述基板。
5.根据权利要求1所述的集群批处理式基板处理系统,其特征在于,
所述基板搬运机器人具备5个搬运叉,该5个搬运叉可搬运1至5个所述基板。
6.根据权利要求1所述的集群批处理式基板处理系统,其特征在于,
在所述批处理式基板处理装置的上侧以双重方式层叠有批处理式基板处理装置。
7.根据权利要求1所述的集群批处理式基板处理系统,其特征在于,
每个所述批处理式基板处理装置可以处理4至64个所述基板。
8.根据权利要求1所述的集群批处理式基板处理系统,其特征在于,
所述批处理式基板处理装置具备:
基板处理部,收容并处理层叠在基板装载部上的多个基板;以及
供气部,形成在所述基板处理部的一侧外周表面上,收容有供基板处理气体流通的至少一个供气流道,以将基板处理气体供给至所述基板处理部,
当基板与所述基板处理部的内周表面之间的距离为d1,基板与所述供气流道之间的距离为d2时,d1≤d2。
9.根据权利要求8所述的集群批处理式基板处理系统,其特征在于,还具备:
排气部,其形成在所述基板处理部的另一侧外周表面上,收容有供基板处理气体流通的至少一个排气流道,以排出向所述基板处理部供给的基板处理气体。
10.根据权利要求9所述的集群批处理式基板处理系统,其特征在于,
所述基板处理部的所述外周表面与所述供气部的外周表面连接成一体,
所述基板处理部的所述外周表面与所述排气部的外周表面连接成一体。
11.根据权利要求9所述的集群批处理式基板处理系统,其特征在于,
所述供气流道具有:
多个供气管,其沿着所述供气部的长度方向形成;
多个吐气孔,其朝向所述基板处理部形成在所述供气管的一侧。
12.根据权利要求11所述的集群批处理式基板处理系统,其特征在于,
所述排气流道具有:
排气管,其沿着所述排气部的长度方向形成;
多个排气孔,其朝向所述基板处理部形成在所述排气管的一侧。
13.根据权利要求8所述的集群批处理式基板处理系统,其特征在于,
所述基板处理部具有圆柱状,并且顶面平坦。
14.根据权利要求13所述的集群批处理式基板处理系统,其特征在于,
所述基板处理部的顶面上结合有多个加强筋。
15.根据权利要求14所述的集群批处理式基板处理系统,其特征在于,
交叉配置或平行配置所述多个加强筋,并结合在所述基板处理部的顶面上。
16.根据权利要求8所述的集群批处理式基板处理系统,其特征在于,
在所述基板处理部的外周表面以及顶面上设有加热器。
17.根据权利要求16所述的集群批处理式基板处理系统,其特征在于,
所述加热器形成为板状。
18.根据权利要求8所述的集群批处理式基板处理系统,其特征在于,
所述基板处理部的底面处于开放状态,
以包裹所述基板处理部及所述供气部的方式设有底面呈开放状态的壳体,
进一步具备基板装载部,其设置成可升降,用于将多个所述基板装载至所述基板处理部。
19.根据权利要求18所述的集群批处理式基板处理系统,其特征在于,
所述基板装载部在升降过程中可拆卸地结合在歧管的下端,该歧管的上端与所述基板处理部的下端以及所述供气部的下端结合,
当所述基板装载部结合于所述歧管的下端时,所述基板装载至所述基板处理部。
20.根据权利要求12所述的集群批处理式基板处理系统,其特征在于,
当层叠有多个所述基板的所述基板装载部被收容于所述基板处理部内时,所述吐气孔和所述排气孔分别位于被所述基板装载部支撑的相邻的所述基板与基板之间的间隙上。
CN201410690069.1A 2013-11-25 2014-11-25 集群批处理式基板处理系统 Pending CN104658946A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2013-0144079 2013-11-25
KR1020130144079A KR20150060086A (ko) 2013-11-25 2013-11-25 클러스터형 배치식 기판처리 시스템

Publications (1)

Publication Number Publication Date
CN104658946A true CN104658946A (zh) 2015-05-27

Family

ID=53181573

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410690069.1A Pending CN104658946A (zh) 2013-11-25 2014-11-25 集群批处理式基板处理系统

Country Status (4)

Country Link
US (1) US20150144060A1 (zh)
KR (1) KR20150060086A (zh)
CN (1) CN104658946A (zh)
TW (1) TW201533263A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108203815A (zh) * 2016-12-19 2018-06-26 北京北方华创微电子装备有限公司 工艺腔室及半导体加工设备
CN109786304A (zh) * 2019-01-17 2019-05-21 沈阳拓荆科技有限公司 一种用于晶圆处理设备的装载室
CN109860071A (zh) * 2017-11-30 2019-06-07 上海新昇半导体科技有限公司 快速热处理装置及方法
CN111775437A (zh) * 2020-07-04 2020-10-16 刘永 一种基片的预处理装置
CN112166208A (zh) * 2017-07-19 2021-01-01 因特瓦克公司 用于形成纳米层合光学涂层的系统

Families Citing this family (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170025291A1 (en) * 2015-07-22 2017-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chamber furnace for batch processing
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR101736854B1 (ko) 2015-10-29 2017-05-17 세메스 주식회사 기판 처리 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102043876B1 (ko) 2016-02-09 2019-11-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
DE102018119313B4 (de) * 2018-08-08 2023-03-30 Rogers Germany Gmbh Verfahren zum Bearbeiten eines Metall-Keramik-Substrats und Anlage zum Durchführen des Verfahrens
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047594A (ja) * 2020-09-14 2022-03-25 キオクシア株式会社 基板処理装置および半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230069085A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Company Limited Process tool and method for handling semiconductor substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407350A (en) * 1992-02-13 1995-04-18 Tokyo Electron Limited Heat-treatment apparatus
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP2004014543A (ja) * 2002-06-03 2004-01-15 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US9111972B2 (en) * 2004-07-13 2015-08-18 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for a semiconductor device
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5881956B2 (ja) * 2011-02-28 2016-03-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびウェーハホルダ

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108203815A (zh) * 2016-12-19 2018-06-26 北京北方华创微电子装备有限公司 工艺腔室及半导体加工设备
CN112166208A (zh) * 2017-07-19 2021-01-01 因特瓦克公司 用于形成纳米层合光学涂层的系统
CN112166208B (zh) * 2017-07-19 2023-12-12 因特瓦克公司 用于形成纳米层合光学涂层的系统
CN109860071A (zh) * 2017-11-30 2019-06-07 上海新昇半导体科技有限公司 快速热处理装置及方法
CN109860071B (zh) * 2017-11-30 2021-03-26 上海新昇半导体科技有限公司 快速热处理装置及方法
CN109786304A (zh) * 2019-01-17 2019-05-21 沈阳拓荆科技有限公司 一种用于晶圆处理设备的装载室
CN109786304B (zh) * 2019-01-17 2022-05-10 拓荆科技股份有限公司 一种用于晶圆处理设备的装载室
CN111775437A (zh) * 2020-07-04 2020-10-16 刘永 一种基片的预处理装置
CN111775437B (zh) * 2020-07-04 2022-09-27 江海琦 一种基片的预处理装置

Also Published As

Publication number Publication date
KR20150060086A (ko) 2015-06-03
US20150144060A1 (en) 2015-05-28
TW201533263A (zh) 2015-09-01

Similar Documents

Publication Publication Date Title
CN104658946A (zh) 集群批处理式基板处理系统
KR101138810B1 (ko) 기판 처리 장치
US8828141B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
CN105051251B (zh) 用于旋转料架原子层沉积的装置以及方法
US10790138B2 (en) Method and system for selectively forming film
WO2015096819A1 (zh) 工艺腔室以及半导体加工设备
EP2643495B1 (en) Thermal gradient enhanced chemical vapour deposition (tge-cvd)
KR20160006630A (ko) 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법
US10546761B2 (en) Substrate processing apparatus
CN111354657B (zh) 半导体多站处理腔体
CN102934214A (zh) 装载闸批式臭氧硬化
EP3119919A1 (en) Evaporation source for organic material
CN102560421B (zh) 用于薄膜沉积的方法和系统
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
JP2011029441A (ja) 基板処理装置及び基板処理方法
CN104005005A (zh) 批处理式基板处理装置
KR101625478B1 (ko) 수직 적층식 히터를 구비한 박막 증착 장치 및 이를 이용한 박막 증착 방법
CN105386009A (zh) 基板处理装置的反应器
JP2008202146A (ja) 縦型化学気相成長装置及び該装置を用いた成膜方法
KR101524251B1 (ko) 클러스터형 배치식 기판처리 시스템
JP2011187543A (ja) 基板処理装置および半導体装置の製造方法
TW201500269A (zh) 叢集型批量式基板處理系統
KR20150003118A (ko) 클러스터형 배치식 기판처리 시스템
KR101452336B1 (ko) 배치식 기판처리 시스템
KR101364196B1 (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150527