CN104485277A - Hvpe腔室硬件 - Google Patents

Hvpe腔室硬件 Download PDF

Info

Publication number
CN104485277A
CN104485277A CN201410593230.3A CN201410593230A CN104485277A CN 104485277 A CN104485277 A CN 104485277A CN 201410593230 A CN201410593230 A CN 201410593230A CN 104485277 A CN104485277 A CN 104485277A
Authority
CN
China
Prior art keywords
chamber
gas
treatment chamber
pedestal
chamber body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410593230.3A
Other languages
English (en)
Other versions
CN104485277B (zh
Inventor
石川哲也
D·H·考齐
常安中
O·克利里欧科
Y·梅尔尼克
H·S·拉迪雅
S·T·恩古耶
L·庞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104485277A publication Critical patent/CN104485277A/zh
Application granted granted Critical
Publication of CN104485277B publication Critical patent/CN104485277B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/479Flow dividing compartments
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/4807Tank type manifold [i.e., one tank supplies or receives from at least two others]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/4824Tank within tank
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7504Removable valve head and seat unit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8376Combined

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文所公开的实施例一般涉及HVPE腔室。腔室可具有两个不同的前驱物源,两个不同的前驱物源与腔室耦接以便沉积两个不同层。举例而言,镓源与不同的铝源可耦接至处理腔室以便在相同处理腔室中分别地沉积氮化镓与氮化铝于基板上。可在较低温度下在与镓及铝不同的位置处将氮导入处理腔室。不同温度造成气体混合在一起、反应且沉积于基板上,且极少或没有沉积于腔室壁上。

Description

HVPE腔室硬件
本申请是国际申请号为PCT/US2010/030492、国际申请日为2010年4月9日并且于2011年11月26日进入中国国家阶段的中国国家阶段申请号为201080019343.2的“HVPE腔室硬件”一案的分案申请。
发明背景
技术领域
本文所公开的实施例一般涉及氢化物汽相外延(hydride vapor phase epitaxy,HVPE)腔室。
背景技术
现今发现III族氮化物半导体在短波长发光二极管(LED)、激光二极管(LD)、以及电子器件(包括高功率、高频率以及高温晶体管与集成电路)的发展与制造中越来越重要。已经用来沉积III族氮化物的一种方法是HVPE。HVPE中,氢化物气体与III族金属反应接着与氮前驱物反应以形成III族金属氮化物。
随着对LED、LD、晶体管以及集成电路的需求增大,沉积III族金属氮化物的效率便较具重要性。因此,本领域中需要一种改良的HVPE沉积方法与HVPE设备。
发明内容
本文所公开的实施例一般涉及HVPE腔室。腔室可具有一个或多个与自己耦接的前驱物源。当两个不同前驱物源与腔室耦接时,可沉积两个不同层。举例而言,镓源与不同的铝源可耦接至处理腔室,以便在相同处理腔室中分别地沉积氮化镓与氮化铝于基板上。在一个实施例中,五个前驱物源可耦接至腔室。这些前驱物源能够分配前驱物,诸如镓、铟、铝、硅与镁。当前驱物用来形成含氮化合物时,可应用含氮气体(例如,NH3)。可在较低温度下在与前驱物不同的位置处将氮导入处理腔室。腔室的几何结构可经设定以致将前驱物与反应性气体分别地导入腔室以避免高浓度混合。腔室惯性经设计以藉由流动、扩散与对流来混合气体。在一个实施例中,不同的温度造成气体混合在一起、反应并沉积于基板上,且极少或没有沉积于腔室壁上。
在一个实施例中,设备包括腔室主体,所述腔室主体具有腔室壁;反应性产物舟,耦接至腔室主体;第一反应性产物源,配置于反应性产物舟中;及第二反应性源,配置于反应性产物舟中。设备亦可包括第一电阻加热器,所述第一电阻加热器耦接至反应性产物舟;第三反应性源,耦接至腔室主体且配置于反应性产物舟外;第二电阻加热器,嵌于腔室壁中;及气体分配喷头,配置于腔室主体中且与第三反应性源耦接。设备亦可包括基座,所述基座配置于腔室主体中且在喷头对面;一个或多个加热元件,配置于基座下方;第一气体环,沿着腔室壁配置于腔室主体中,且耦接至第一反应性产物与第二反应性产物两者;及第二气体环,耦接至第一气体环,第二气体环具有多个开口穿过其中,以允许气体进入腔室主体。
另一实施例中,方法包括将基板插入处理腔室。处理腔室具有气体分配喷头,所述气体分配喷头配置于基座上方,而基板配置于基座上。处理腔室亦具有气体入口环,所述气体入口环配置于处理腔室中且在气体分配喷头与基座之间。方法亦包括在处理腔室远端加热第一反应性气体、通过气体入口环将第一反应性气体导入处理腔室、通过气体分配喷头将第二反应性气体导入处理腔室、并加热处理腔室壁。方法亦可包括旋转基板并于基板上沉积层,所述层是第一反应性气体与第二反应性气体的反应性产物。
附图说明
为了更详细地了解本发明的上述特征,可参照实施例(某些描绘于附图中)来理解本发明简短概述于上的特定描述。然而,需注意附图仅描绘本发明的典型实施例而因此不被视为对本发明范围的限制因素,因为本发明可允许其他等效实施例。
图1是根据一个实施例的HVPE设备100的示意图。
图2是根据另一实施例的设备200的示意立体图。
图3A是根据另一实施例的处理腔室300之示意立体图。
图3B是图3A的示意剖面图。
图4是根据另一实施例的处理腔室400的示意剖面图。
图5是根据一个实施例的前驱物源500的示意剖面图。
图6是根据另一实施例的前驱物源600的示意剖面图。
图7是根据一个实施例的处理腔室中浮力的示意图。
图8是根据一个实施例的处理腔室中热分配的示意图。
为了促进理解,尽可能应用相同的元件符号来标示图示中相同的元件。预期一个实施例揭露的元件可有利地用于其他实施例而不需特别详述。
具体实施方式
本文所公开的实施例一般涉及HVPE腔室。腔室可具有一个或多个与自己耦接的前驱物源。当两个不同前驱物源与腔室耦接时,可沉积两个不同层。举例而言,镓源与不同的铝源可耦接至处理腔室以便在相同处理腔室中分别地沉积氮化镓与氮化铝于基板上。在一个实施例中,五个前驱物源可耦接至腔室。这些前驱物源能够分配前驱物,诸如镓、铟、铝、硅与镁。当前驱物用来形成含氮化合物时,可应用含氮气体(例如,NH3)。可在较低温度下在与前驱物不同的位置处将氮导入处理腔室。腔室的几何结构可经设定以致将前驱物与反应性气体分别地导入腔室以避免高浓度混合。腔室惯性经设计以藉由流动、扩散与对流来混合气体。在一个实施例中,不同的温度造成气体混合在一起、反应并沉积于基板上,且极少或没有沉积于腔室壁上。此外,腔室设有金属氧化物源输送系统。此外,腔室设有活性品类产生器,诸如等离子体顺流、气体加热器、热金属线等。
图1是根据一个实施例的HVPE设备100的示意图。设备包括盖104所封围的腔室102。通过气体分配喷头106将来自第一气体源110的处理气体输送至腔室102。在一个实施例中,气体源110可包括含氮化合物。另一实施例中,气体源110可包括氨。在一个实施例中,亦可通过气体分配喷头106或通过腔室102的壁108中的任一个导入惰性气体(诸如,氦或双原子氮)。能量源112可配置于气体源110与气体分配喷头106之间。在一个实施例中,能量源112可包括加热器。能量源112可分解来自气体源110的气体(例如,氨),以致来自含氮气体的氮更具反应性。
为了与来自第一源110的气体反应,可自一个或多个第二源118输送前驱物材料。一个或多个第二源118可包括前驱物(诸如,镓与铝)。可理解虽然提及两个前驱物,但可如上述般输送更多或更少的前驱物。在一个实施例中,前驱物包括镓,所述镓以液体形式存在于前驱物源118中。另一实施例中,前驱物包括铝,所述铝以固体形式存在于前驱物源118中。在一个实施例中,铝前驱物可为固体、粉末形式。可藉由流动反应性气体越过与/或穿过前驱物源118中的前驱物来输送前驱物至腔室102。在一个实施例中,反应性气体可包括含氯气体(例如,双原子氯)。含氯气体可与前驱物源(诸如,镓或铝)反应以形成氯化物。在一个实施例中,一个或多个第二源118可包括低共熔材料及其合金。另一实施例中,HVPE设备100可经配置以处理掺杂源及至少一个本质源以控制掺杂浓度。
为了提高含氯气体与前驱物反应的有效性,含氯气体可曲折通过腔室132中的舟区并以电阻加热器120来加热含氯气体。藉由提高含氯气体曲折通过腔室132的停留时间,可控制含氯气体的温度。藉由提高含氯气体的温度,氯可更快地与前驱物反应。换句话说,温度是氯与前驱物之间的反应的催化剂。
为了提高前驱物的反应性,可藉由第二腔室132中的电阻加热器120在舟中加热前驱物。举例而言,在一个实施例中,可将镓前驱物加热至约750℃至约850℃之间的温度。氯化物反应产物可接着输送至腔室102。反应性氯化物产物首先进入管122,其中产物均匀地分散于管122中。管122连接至另一管124。在氯化物反应产物已经均匀地分散于第一管122中后,氯化物反应产物进入第二管124。氯化物反应产物接着进入腔室102,其中产物与含氮气体反应以在配置于基座114上的基板116上形成氮化物层。在一个实施例中,基座114可包括碳化硅。氮化物层可包括诸如氮化镓或氮化铝。可通过排气装置126排出其他反应产物(诸如,氮与氯)。
腔室102可具有能导致浮力效应的热梯度。举例而言,在约450℃与约550℃之间的温度下通过气体分配喷头106导入氮基气体。腔室壁108可具有约600℃至约700℃的温度。基座114可具有约1050至约1150℃的温度。因此,腔室102中的温度差异可让气体在受热时于腔室102中上升而在冷却时下降。气体的上升与下降可造成氮气与氯化物气体的混合。此外,浮力效应因为混合可减少氮化镓或氮化铝沉积于壁108上的数量。
以配置于基座114下方的灯模块128加热基座114来达成处理腔室102的加热。沉积过程中,灯模块128是处理腔室102热量的主要来源。虽然显示且描述成灯模块128,但可理解能应用其他加热源。可藉由利用嵌于腔室102的壁108中的加热器130来达成处理腔室102的额外加热。嵌于壁108中的加热器130可在沉积处理过程中提供少量(若有的话)的热量。热电偶可用来测量处理腔室内的温度。热电偶的输出可反馈至控制器,所述控制器基于来自热电偶的读数控制加热器130的加热。举例而言,若腔室太冷时,将打开加热器130。若腔室太热时,将关掉加热器130。此外,来自加热器130的加热量可经控制以致由加热器130提供少量的热量。
沉积处理后,通常将基板116自处理腔室102取出。关掉灯模块128。在来自灯模块128的热量不存在的情况下,腔室102可快速冷却。已经沉积于壁108上的氮化物前驱物的热膨胀系数可不同于壁108本身的热膨胀系数。因此,氮化物前驱物会因为热膨胀而成片剥离。为了避免不期望的成片剥离,可打开嵌于腔室壁108中的加热器130以控制热膨胀并维持腔室102于期望腔室温度下。可再度基于来自热电偶的实时反馈来控制加热器130。一旦关掉灯模块128后,可打开或调高加热器130以维持腔室102温度在期望温度下,以致氮化物前驱物不会成片剥离而污染基板或基座114上的空地而造成不均匀的基座114表面。藉由维持腔室壁108在高温下,清洁气体(例如,氯)可更有效地自腔室壁108清洁沉积物。
一般而言,沉积处理将如下进行。首先可将基板116插入处理腔室102并配置于基座114上。在一个实施例中,基板116可包括蓝宝石。可打开灯模块128以加热基板16且对应地加热腔室102。可自第一源110将含氮反应性气体导入处理腔室。含氮气体可通过能量源112(例如,气体加热器)以导致含氮气体进入更具反应性状态。含氮气体接着通过腔室盖104与气体分配喷头106。在一个实施例中,腔室盖104可为水冷式。
亦可将前驱物输送至腔室102。含氯气体可通过与/或越过前驱物源118中的前驱物。含氯气体接着与前驱物反应以形成氯化物。利用电阻加热器120在源模块132中加热氯化物并接着将氯化物输送至上游管122中,其中氯化物均匀地分散于管122中。氯化物气体接着在导入腔室102内容积之前流向其他管124。可理解虽然讨论为含氯气体,但本发明并不限于含氯气体。反之,可在HVPE处理中应用其他化合物。腔室壁118可具有自嵌入壁118中的加热器130产生的极少量的热量。腔室120中热量大部分由基座114下方非灯模块128所产生。
由于腔室102中的热梯度,氯化物气体与含氮气体在处理腔室102中上升与下降并因此混合以形成沉积于基板116上的氮化物。除了沉积于基板116上以外,氮化物层亦可沉积于腔室102的其他暴露区。氯化物与含氮气体的气态反应产物可包括氯与氮,所述氯与氮可通过排气装置126排出腔室。
一旦沉积处理完成后,可关掉灯模块128并提高加热器130输出。可移除基板116。加热器130输出可减少或消除热膨胀,并因此可将任何沉积氮化物材料维持于原位直至所期望的清洁时间且不会自壁108成片剥离而落于引入/输出基板116的基座114上。一旦沉积处理完成后,可藉由导入蚀刻剂自壁108蚀刻氮化物而移除任何已经沉积于壁108上的氮化物。清洁过程中,可关掉灯模块128而热量大部分可来自嵌入壁108中的加热器130。一旦将新的基板116置入腔室102中,可重复处理。
虽然讨论为将含氮气体通过气体分配喷头106导入且将前驱物输送至对应于腔室102中间的区域,但可理解气体导入位置可为相反的。然而,若通过喷头106导入前驱物,可加热喷头106以提高氯化物反应产物的反应性。
由于在不同温度下输送氯化物反应产物与氨,通过相同进料器输送氨与氯化物反应产物将出现问题。举例而言,若石英喷头用来供给氨与氯化物反应产物两者,则石英喷头会因为氨与氯化物反应产物的不同温度而破裂。
此外,沉积处理可包括沉积薄氮化铝层作为种子层于蓝宝石基板上,接着沉积氮化镓层。氮化镓与氮化铝两者可在相同处理腔室中沉积。之后,可将蓝宝石基板移除并置于沉积另一层的MOCVD处理腔室中。某些实施例中,可消除氮化铝层。当氮化铝层与氮化镓层两者沉积于相同腔室中时,可利用双原子氮逆流来避免任何其他前驱物与氯反应而形成氯化物反应产物。可将双原子氮流入前驱物未反应的腔室而将氯流入以接触其他前驱物。因此,一时间内仅有一种前驱物反应。
图2是根据另一实施例的设备200的示意立体图。设备200包括耦接至腔室204的前驱物源202或舟。腔室204由盖212、底部210与封围件208所封围且由夹钳206固持于原位。通过通道214将含氯气体导入前驱物源202。含氯气体在与前驱物接触前曲折通过通道214,以致可提高含氯气体的温度至最适合与前驱物反应的预设温度。
图3A是根据另一实施例的处理腔室300的示意立体图。处理腔室300包括第一前驱物源302、第二前驱物源304、让氯气通过的通道306、上环308、下环310与侧壁312。图3B是图3A的示意横剖面图。在氯化物反应产物通过连接器318(分散于管314、316之间)流至第二管316之前,氯化物反应产物可通过第一上游管314进入腔室并接着均匀地分散于其中。在一个实施例中,可呈现多个基本相同的连接器318。另一实施例中,可呈现多个连接器318,其中至少一个连接器318不同于至少一个另一连接器318。另一实施例中,可呈现多个连接器318,这些连接器基本上均匀地分散于管314、316之间。另一实施例中,可呈现多个连接器318,这些连接器非均匀地分散于管314、316之间。在一个实施例中,上环与下环308、310包括不透明石英。在一个实施例中,壁312可包括透明石英。另一实施例中,管314、316可包括透明石英。下衬里320可包括不透明石英。环308、310可具有自壁312延伸向外的唇部322。O形环可配置于唇部322边缘外以确保O形环尽可能远离被加热的腔室壁312以及灯模块。O形环通常直到约250℃之前是可用的。因此,将O形环远离腔室主体是有好处的。
图4是根据另一实施例的处理腔室400的示意立体图。处理腔室400包括支撑轴420支撑的基座418。处理腔室400亦包括腔室壁402,所述腔室壁402具有第一管404与自己耦接。第一管404是氯化物反应产物在释放至腔室前最初流入的管。管404经由一个或多个连接器408耦接至第二管406。在一个实施例中,一个或多个连接器408可经配置以基本上平衡氯化物反应产物的流动。在一个实施例中,可呈现多个基本上相同的连接器408。另一实施例中,可呈现多个连接器408,其中至少一个连接器408不同于至少一个另一连接器408。另一实施例中,可呈现多个连接器408,这些连接器基本上均匀地分散于管404、406之间。另一实施例中,可呈现多个连接器408,这些连接器非均匀地分散于管404、406之间。管406具有多个开口410,经由开口410可让氯化物反应产物进入处理空间。在一个实施例中,开口410可沿着第二管406均匀地分散。另一实施例中,开口410可沿着第二管406非均匀地分散。在一个实施例中,开口410可具有基本相似尺寸。另一实施例中,开口410可具有不同尺寸。在一个实施例中,开口410可朝向远离基板的方向。另一实施例中,开口410可朝向大致面对基板的方向。另一实施例中,开口410可朝向基本平行于基板沉积表面的方向。另一实施例中,开口410可朝向多个方向。首先藉由将含氯气体导入前驱物源或舟来形成氯化物气体并流动于通道416中。含氯气体曲折环绕管414中的通道。通道416由上述电阻加热器所加热。因此,在接触前驱物之前提高含氯气体温度。一旦氯与前驱物接触后,产生反应以形成氯化物反应产物,反应产物流过耦接至管414的气体进料器412中的通道。接着,氯化物反应产物均匀地分散且随后配置于处理腔室400中。
图5是根据一个实施例的前驱物源500的示意剖面图。此处所述的实施例中,前驱物为镓,然而,可理解叙述能适用于任何液体前驱物。前驱物源500包括前驱物本身与所述前驱物上的漂浮件504。漂浮件504为让氯气体流过以与前驱物接触的物件。当氯与前驱物接触时,将会使用掉某些前驱物。因此,液体水平将随着时间下降。如此,漂浮件504将向下移动并漂浮于前驱物上,以致即使随着前驱物水平下降,氯气对前驱物的暴露也基本上相同。漂浮件504上方的区域506可随着前驱物502减少而增加。漂浮件504的材料可包括PbN以排除石英暴露于镓。漂浮件504坐落于前驱物上,前驱物位于坐落于支撑衬里502上的衬里530中。
图6是根据另一实施例的前驱物源600的示意剖面图。虽然描述提及固体(粉末铝前驱物),但可理解前驱物可为任何固体前驱物。前驱物在喷头604下方,氯气通过喷头604流入以接触前驱物。喷头604提高氯气暴露于前驱物的停留时间以致可输送最理想数量的前驱物至处理腔室。由于喷头604非为漂浮件,并不预期迷宫604上方的区域606会随着时间增加。喷头604坐落于支撑衬里602中。
图7是根据一个实施例的处理腔室中浮力的示意图。如线所示,腔室中的气流是循环的,以致气体自腔室底部上升、混合并接着当温度冷却时朝向腔室底部下沉。图8是根据一个实施例的处理腔室中热分配的示意图式。如图8所示,温度分配基本上围绕一轴而对称,但腔室底部至腔室顶部具有温度梯度。
本文所讨论的实施例涉及热壁HVPE CVD反应器设计,所述设计使壁沉积最小化同时使任何附着于腔室壁的沉积膜足以良好地附着以管理产物基板上的缺陷。腔室经建构以致可在期望的预热温度下分别地导入两种反应性气体。气体注入经设计以致两种气体主要在远离壁的地方混合,但可提供足够的扩散距离、体积与浮力以确保完美的预先混合并产生高品质薄膜。
腔室设计包括热壁HVPE反应器,所述反应器具有多个加热区以管理壁温度与梯度;底部灯,以进行快速晶圆温度上升与下降;HVPE舟结构,直接与具有选择性稀释能力的腔室内容积接合;及腔室结构,促进浮力流。腔室设计允许注射反应性气体进入流动的主要流的方法。腔室设计亦包括气体注入方法以让主要的气体混合发生在远离壁的气体空间中;基板加热器,以进行快速温度上升与下降;顶部加热器,以进行温度梯度控制;及个别的气体注入,以致达成混合与浮力效应。设备亦包括独立加热器与控制器所加热的多个金属源、包括喷头特征的石英或陶瓷壁。可应用氦(而非氮)作为稀释气体以保持气体于较高温度下。可利用气体加热器或具有多个平板的加热迷宫设计加热顶部氨/氮气或氨/氦气以使氨更具反应性。可藉由能量源(例如,气体加热器)活化顶部氮源与稀释剂。反应性气体可流过以能量源预热或活化的金属源舟。可旋转基座以进行更佳的气体分配。平板可用来引导气体混合物至基板边缘。此外,可将排气装置配置在基板周围或可排出加热气体的腔室上部。
虽然上述针对本发明各实施例,但可在不悖离本发明基本范围下设计出本发明的其他与更多实施例,而本发明的范围则由所附权利要求书所界定。

Claims (15)

1.一种设备,包括:
第一腔室主体;
第二腔室主体,与所述第一腔室主体相连;
舟,位于所述第二腔室主体中;
第一加热元件,与所述舟耦接;
第二加热元件,与所述第一腔室主体耦接;
气体分配元件,配置于所述第一腔室主体中;
基座,配置于所述第一腔室主体中且与所述气体分配元件相对;
一个或多个第三加热元件,配置于所述基座下方;
第一管,配置于所述第一腔室主体中所述基座的外围,所述第一管与所述舟耦接;以及
第二管,与所述第一管耦接,所述第二管具有多个开口贯穿自身。
2.如权利要求1所述的设备,其特征在于,所述第一腔室主体包括一个或多个环并且具有一个或多个壁,所述一个或多个环包括不透明石英,所述一个或多个壁包括透明石英。
3.如权利要求1所述的设备,其特征在于,进一步包括腔室盖,所述腔室盖与所述第一腔室主体耦接且位于所述气体分配元件上方,其中所述气体分配元件是气体分配喷头。
4.如权利要求3所述的设备,其特征在于,所述基座包括碳化硅。
5.如权利要求1所述的设备,其特征在于,进一步包括真空泵,所述真空泵在所述基座下方的一位置处与所述腔室主体耦接。
6.如权利要求1所述的设备,其特征在于,第一气体管和第二气体管各自包括透明石英。
7.一种方法,包括:
将基板插入处理腔室中,所述处理腔室具有配置于基座上方的气体分配喷头,所述基板配置于所述基座上,所述处理腔室亦具有配置于所述处理腔室中且在所述气体分配喷头与所述基座之间的气体入口;
在所述处理腔室远端加热第一反应性气体;
通过所述气体入口引导所述第一反应性气体进入所述处理腔室;
通过所述气体分配喷头引导第二反应性气体至所述处理腔室;
加热所述基座至第一温度;
加热所述处理腔室至第二温度,所述第二温度低于所述第一温度,热梯度在所述处理腔室中产生;
旋转所述基板;以及
沉积一层于所述基板上,所述层是所述第一反应性气体与所述第二反应性气体的反应性产物。
8.如权利要求7所述的方法,其特征在于,所述方法是氢化物汽相外延方法。
9.如权利要求8所述的方法,其特征在于,进一步包括:
在所述处理腔室远端加热第三反应性气体;以及
通过所述气体入口引导所述第三反应性气体进入所述处理腔室。
10.如权利要求9所述的方法,其特征在于,所述第一反应性气体包括氯化镓。
11.如权利要求10所述的方法,其特征在于,所述第二反应性气体包括氨。
12.如权利要求11所述的方法,其特征在于,所述第三反应性气体包括氯化铝。
13.如权利要求12所述的方法,其特征在于,所述基板包括蓝宝石。
14.如权利要求13所述的方法,其特征在于,进一步包括加热含氯气体并流动所加热的含氯气体越过前驱物。
15.如权利要求14所述的方法,其特征在于,所述前驱物选自液态镓与粉末铝所构成的群组。
CN201410593230.3A 2009-04-10 2010-04-09 Hvpe腔室硬件 Active CN104485277B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US16839909P 2009-04-10 2009-04-10
US61/168,399 2009-04-10
US17263009P 2009-04-24 2009-04-24
US61/172,630 2009-04-24
US23087709P 2009-08-03 2009-08-03
US61/230,877 2009-08-03
US12/637,019 US8568529B2 (en) 2009-04-10 2009-12-14 HVPE chamber hardware
US12/637,019 2009-12-14
CN2010800193432A CN102414790A (zh) 2009-04-10 2010-04-09 Hvpe腔室硬件

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2010800193432A Division CN102414790A (zh) 2009-04-10 2010-04-09 Hvpe腔室硬件

Publications (2)

Publication Number Publication Date
CN104485277A true CN104485277A (zh) 2015-04-01
CN104485277B CN104485277B (zh) 2017-06-30

Family

ID=42933312

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201410593230.3A Active CN104485277B (zh) 2009-04-10 2010-04-09 Hvpe腔室硬件
CN201080019517.5A Active CN102414792B (zh) 2009-04-10 2010-04-09 Hvpe前驱物源硬件
CN2010800193432A Pending CN102414790A (zh) 2009-04-10 2010-04-09 Hvpe腔室硬件

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201080019517.5A Active CN102414792B (zh) 2009-04-10 2010-04-09 Hvpe前驱物源硬件
CN2010800193432A Pending CN102414790A (zh) 2009-04-10 2010-04-09 Hvpe腔室硬件

Country Status (5)

Country Link
US (2) US8491720B2 (zh)
KR (2) KR101690056B1 (zh)
CN (3) CN104485277B (zh)
TW (2) TWI503437B (zh)
WO (2) WO2010118293A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106498371A (zh) * 2015-09-03 2017-03-15 株式会社岛津制作所 成膜装置以及成膜方法
CN108070848A (zh) * 2016-11-11 2018-05-25 优材科技有限公司 加热器模块、薄膜沉积装置及方法
CN109468680A (zh) * 2018-12-19 2019-03-15 东莞市中镓半导体科技有限公司 一种应用于氢化物气相外延设备的气体预热装置
CN114807886A (zh) * 2022-04-13 2022-07-29 北京北方华创微电子装备有限公司 工艺腔室及工艺方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
CN102766902B (zh) * 2011-05-05 2015-12-02 北京北方微电子基地设备工艺研究中心有限责任公司 工艺腔室装置和具有该工艺腔室装置的基片处理设备
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
CN103014846A (zh) * 2013-01-14 2013-04-03 东莞市中镓半导体科技有限公司 一种材料气相外延用同心圆环喷头结构
US9327252B2 (en) 2013-03-15 2016-05-03 Applied Materials, Inc. Compact device for enhancing the mixing of gaseous species
US9373529B2 (en) * 2013-10-23 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Process tool having third heating source and method of using the same
USD767112S1 (en) * 2015-04-15 2016-09-20 K&N Engineering, Inc. Vent breather
KR102165760B1 (ko) * 2018-02-12 2020-10-14 전주대학교 산학협력단 Hvpe반응기
US12018372B2 (en) 2021-05-11 2024-06-25 Applied Materials, Inc. Gas injector for epitaxy and CVD chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050212001A1 (en) * 2001-07-06 2005-09-29 Technologies And Devices International, Inc. Method for achieving low defect density AlGaN single crystal boules
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20080132040A1 (en) * 2004-06-30 2008-06-05 Wang Nang Wang Deposition Technique for Producing High Quality Compound Semiconductor Materials
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20080289575A1 (en) * 2007-05-24 2008-11-27 Burrows Brian H Methods and apparatus for depositing a group iii-v film using a hydride vapor phase epitaxy process

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3063867A (en) 1958-12-16 1962-11-13 Western Electric Co Deposition and measurement of layer thickness
US4286436A (en) * 1980-06-16 1981-09-01 Chicago Bridge & Iron Company Falling film freeze exchanger
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4750975A (en) * 1986-03-17 1988-06-14 Uni-Frac, Inc. Vapor/liquid contact column structure
US5037624A (en) * 1987-03-24 1991-08-06 Advanced Technology Materials Inc. Composition, apparatus, and process, for sorption of gaseous compounds of group II-VII elements
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5359788A (en) 1993-12-22 1994-11-01 Gell Jr Harold A Coffee roaster
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (ja) 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5827427A (en) * 1996-03-11 1998-10-27 Kinetico Incorporated Tank assembly
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6533874B1 (en) 1996-12-03 2003-03-18 Advanced Technology Materials, Inc. GaN-based devices using thick (Ga, Al, In)N base layers
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6254772B1 (en) * 1998-01-15 2001-07-03 Yiu Chau Chau Backwashable filtration system
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6101816A (en) * 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system
WO1999066565A1 (en) 1998-06-18 1999-12-23 University Of Florida Method and apparatus for producing group-iii nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6179913B1 (en) 1999-04-16 2001-01-30 Cbl Technologies, Inc. Compound gas injection system and methods
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6265311B1 (en) * 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6413860B1 (en) * 1999-04-27 2002-07-02 Tokyo Electron Limited PECVD of Ta films from tanatalum halide precursors
US6410433B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited Thermal CVD of TaN films from tantalum halide precursors
KR100416308B1 (ko) 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6998152B2 (en) * 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
ATE249532T1 (de) 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US6475902B1 (en) * 2000-03-10 2002-11-05 Applied Materials, Inc. Chemical vapor deposition of niobium barriers for copper metallization
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
CN1331199C (zh) 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
DE60106675T2 (de) * 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US6837251B1 (en) * 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
EP2276059A1 (en) * 2000-08-04 2011-01-19 The Regents of the University of California Method of controlling stress in gallium nitride films deposited on substrates
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
JP4374156B2 (ja) * 2000-09-01 2009-12-02 日本碍子株式会社 Iii−v族窒化物膜の製造装置及び製造方法
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
EP2400046A1 (en) 2001-03-30 2011-12-28 Technologies and Devices International Inc. Method and apparatus for growing submicron group III nitride structures utilizing HVPE techniques
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
US6648966B2 (en) 2001-08-01 2003-11-18 Crystal Photonics, Incorporated Wafer produced thereby, and associated methods and devices using the wafer
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
EP1434962A4 (en) * 2001-10-12 2010-11-24 Enpress L L C COMPOSITE PRESSURIZED ENCLOSURE ASSEMBLY AND CORRESPONDING METHOD
TW200300701A (en) * 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
AU2002366856A1 (en) 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
US6620225B2 (en) * 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US6969426B1 (en) * 2002-02-26 2005-11-29 Bliss David F Forming improved metal nitrides
US20050239675A1 (en) * 2002-04-01 2005-10-27 Munzer Makansi Carrier foam to enhance liquid functional performance
US20030192430A1 (en) * 2002-04-11 2003-10-16 Pearlstein Ronald Martin Helical built-in purifier for gas supply cylinders
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US6911065B2 (en) * 2002-12-26 2005-06-28 Matheson Tri-Gas, Inc. Method and system for supplying high purity fluid
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US7172646B2 (en) * 2003-04-15 2007-02-06 Air Products And Chemicals, Inc. Reactive liquid based gas storage and delivery systems
KR20060011887A (ko) 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
US7170095B2 (en) 2003-07-11 2007-01-30 Cree Inc. Semi-insulating GaN and method of making the same
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US7329470B2 (en) * 2004-05-26 2008-02-12 Societe Bic Apparatus and method for in situ production of fuel for a fuel cell
US7303607B2 (en) * 2004-06-14 2007-12-04 Air Products And Chemicals, Inc. Liquid media containing Lewis acidic reactive compounds for storage and delivery of Lewis basic gases
US7396381B2 (en) * 2004-07-08 2008-07-08 Air Products And Chemicals, Inc. Storage and delivery systems for gases held in liquid medium
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
JP2006120857A (ja) * 2004-10-21 2006-05-11 Hitachi Cable Ltd 気相成長装置およびこれを用いた半導体基板の製造方法および半導体基板
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
US7527742B2 (en) 2005-06-27 2009-05-05 Momentive Performance Materials Inc. Etchant, method of etching, laminate formed thereby, and device
JP4696561B2 (ja) * 2005-01-14 2011-06-08 東京エレクトロン株式会社 気化装置及び処理装置
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
TW201443990A (zh) 2005-03-10 2014-11-16 Univ California 用於生長平坦半極性的氮化鎵之技術
US8118939B2 (en) * 2005-03-17 2012-02-21 Noah Precision, Llc Temperature control unit for bubblers
US7638058B2 (en) * 2005-04-07 2009-12-29 Matheson Tri-Gas Fluid storage and purification method and system
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7413649B2 (en) * 2005-07-29 2008-08-19 Gene Bittner Treatment apparatus with modular chemical containing units having one-way valve assemblies
JP4594820B2 (ja) 2005-08-03 2010-12-08 古河機械金属株式会社 ハイドライド気相成長装置、iii族窒化物半導体基板の製造方法
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
US7955569B2 (en) * 2007-03-14 2011-06-07 Hubert Patrovsky Metal halide reactor for CVD and method
US7901576B2 (en) * 2007-08-06 2011-03-08 Enpress, L.L.C. Composite water treatment vessel including liquid distributor plates
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US7803337B1 (en) * 2008-02-06 2010-09-28 Vapor Point, LLC Method for treating a fluid to be scrubbed
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
EP2376346B3 (en) * 2009-07-09 2016-01-13 Advanced Technology Materials, Inc. Storage system with rigid liner and method of delivering a fluid

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050212001A1 (en) * 2001-07-06 2005-09-29 Technologies And Devices International, Inc. Method for achieving low defect density AlGaN single crystal boules
US20080132040A1 (en) * 2004-06-30 2008-06-05 Wang Nang Wang Deposition Technique for Producing High Quality Compound Semiconductor Materials
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20080289575A1 (en) * 2007-05-24 2008-11-27 Burrows Brian H Methods and apparatus for depositing a group iii-v film using a hydride vapor phase epitaxy process

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106498371A (zh) * 2015-09-03 2017-03-15 株式会社岛津制作所 成膜装置以及成膜方法
CN108070848A (zh) * 2016-11-11 2018-05-25 优材科技有限公司 加热器模块、薄膜沉积装置及方法
CN109468680A (zh) * 2018-12-19 2019-03-15 东莞市中镓半导体科技有限公司 一种应用于氢化物气相外延设备的气体预热装置
CN114807886A (zh) * 2022-04-13 2022-07-29 北京北方华创微电子装备有限公司 工艺腔室及工艺方法
CN114807886B (zh) * 2022-04-13 2024-05-17 北京北方华创微电子装备有限公司 工艺腔室及工艺方法

Also Published As

Publication number Publication date
CN104485277B (zh) 2017-06-30
KR20120003483A (ko) 2012-01-10
WO2010118295A2 (en) 2010-10-14
CN102414790A (zh) 2012-04-11
CN102414792B (zh) 2014-11-05
WO2010118295A3 (en) 2011-01-20
KR20120006542A (ko) 2012-01-18
US20100258049A1 (en) 2010-10-14
WO2010118293A3 (en) 2011-01-20
CN102414792A (zh) 2012-04-11
US20100258052A1 (en) 2010-10-14
TWI480432B (zh) 2015-04-11
WO2010118293A2 (en) 2010-10-14
KR101665304B1 (ko) 2016-10-12
KR101690056B1 (ko) 2016-12-27
TW201100575A (en) 2011-01-01
TWI503437B (zh) 2015-10-11
US8491720B2 (en) 2013-07-23
TW201114957A (en) 2011-05-01
US8568529B2 (en) 2013-10-29

Similar Documents

Publication Publication Date Title
CN104485277A (zh) Hvpe腔室硬件
KR101094913B1 (ko) Iii-v 족 반도체 물질을 형성하기 위한 제조 공정 시스템
US20180171479A1 (en) Materials and coatings for a showerhead in a processing system
JP6117169B2 (ja) 三塩化ガリウムの噴射方式
JP5575482B2 (ja) 単結晶iii−v族半導体材料のエピタキシャル堆積法、及び堆積システム
JP5575483B2 (ja) Iii−v族半導体材料の大量製造装置
TW200924854A (en) Multi-gas spiral channel showerhead
WO2008064080A1 (en) High volume delivery system for gallium trichloride
CN102576667A (zh) 中空阴极喷头
US9481943B2 (en) Gallium trichloride injection scheme
US20130068320A1 (en) Protective material for gas delivery in a processing system
WO2008064085A2 (en) Abatement system for gallium nitride reactor exhaust gases
JP2010177432A (ja) エピタキシャル成長用チャンバの冷却方法およびその装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant