KR101690056B1 - Hvpe 챔버 하드웨어 - Google Patents

Hvpe 챔버 하드웨어 Download PDF

Info

Publication number
KR101690056B1
KR101690056B1 KR1020117026834A KR20117026834A KR101690056B1 KR 101690056 B1 KR101690056 B1 KR 101690056B1 KR 1020117026834 A KR1020117026834 A KR 1020117026834A KR 20117026834 A KR20117026834 A KR 20117026834A KR 101690056 B1 KR101690056 B1 KR 101690056B1
Authority
KR
South Korea
Prior art keywords
chamber
gas
reaction product
precursor
chamber body
Prior art date
Application number
KR1020117026834A
Other languages
English (en)
Other versions
KR20120003483A (ko
Inventor
테츠야 이시카와
데이비드 에이치. 쿠아치
안즈홍 창
올가 크릴리우크
유리 멜니크
하르수크딥 에스. 라티아
손 티. 응우옌
릴리 팡
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120003483A publication Critical patent/KR20120003483A/ko
Application granted granted Critical
Publication of KR101690056B1 publication Critical patent/KR101690056B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/479Flow dividing compartments
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/4807Tank type manifold [i.e., one tank supplies or receives from at least two others]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/4824Tank within tank
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7504Removable valve head and seat unit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8376Combined

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서에 설명된 실시예들은 일반적으로 HVPE 챔버에 관한 것이다. 상기 챔버는 두 개의 별도의 층들이 증착될 수 있도록 챔버에 연결된 두 개의 별도의 전구체 소오스를 가질 수 있다. 예를 들어, 갈륨 소오스 및 별도의 알루미늄 소오스는 갈륨 질화물 및 알루미늄 질화물이 동일한 처리 챔버 내에 있는 기판 상에 별도로 증착될 수 있도록 처리 챔버에 연결될 수 있다. 질소는 갈륨 및 알루미늄과 별개의 위치 및 저온에서 처리 챔버로 유입될 수 있다. 상이한 온도는 가스들이 챔버 벽에는 전혀 또는 거의 증착되지 않으면서 서로 혼합, 반응하여 기판 상에 증착될 수 있게 한다.

Description

HVPE 챔버 하드웨어 {HVPE CHAMBER HARDWARE}
본 명세서에 설명된 실시예들은 일반적으로 수소화물 기상 에피택시(HVPE) 챔버에 관한 것이다.
3족 질화물 반도체들은 단파장 발광 다이오드(LED), 레이저 다이오드(LD), 및 고전력, 고주파수 및 고온 트랜지스터와 집적 회로를 포함한 전자 장치들의 발전 및 제조에 있어서 커다란 중요성이 발견되고 있다. 3족 질화물을 증착하는데 사용되어 온 하나의 방법이 HVPE이다. HVPE에 있어서, 수소화물 가스는 3족 금속 질화물을 형성하기 위해 3족 금속과 반응하고 난 후에 질소 전구체와 반응한다.
LED, LD, 트랜지스터, 및 집적 회로에 대한 요구가 증가하면서, 3족 금속 질화물의 증착 효율이 더욱더 중요해졌다. 그러므로, 개선된 HVPE 증착 방법 및 HVPE 장치가 본 기술 분야에 요구되었다.
본 명세서에 설명된 실시예들은 일반적으로 HVPE 챔버에 관한 것이다. 상기 챔버는 챔버에 연결된 하나 또는 그보다 많은 전구체 소오스를 가질 수 있다. 두 개의 별도의 전구체 소오스가 챔버에 연결될 때, 두 개의 별도의 층들이 증착될 수 있다. 예를 들어, 갈륨 소오스 및 별도의 알루미늄 소오스는 갈륨 질화물 및 알루미늄 질화물이 동일한 처리 챔버 내에 있는 기판 상에 별도로 증착될 수 있도록 처리 챔버에 연결될 수 있다. 일 실시예에서, 5 개의 전구체 소오스들이 챔버에 연결될 수 있다. 그와 같은 전구체 소오스는 갈륨, 인듐, 알루미늄, 실리콘, 및 마그네슘과 같은 전구체들을 분배할 수 있다. 전구체들이 질소 함유 화합물을 형성하는데 사용될 때, NH3와 같은 질소 함유 가스가 사용될 수 있다. 질소는 전구체들과 별개의 위치 및 저온에서 처리 챔버로 유입될 수 있다. 챔버의 형상은 전구체 및 반응 가스가 고 농도로 혼합되는 것을 방지하기 위해 별개로 챔버로 유입되도록 설정될 수 있다. 챔버 관성(inertia)은 유동, 확산 및 대류에 의해 가스들을 혼합하도록 설계된다. 일 실시예에서, 상이한 온도들은 가스들이 챔버 벽에는 전혀 또는 거의 증착되지 않으면서 서로 혼합, 반응하여 기판 상에 증착될 수 있게 한다.
일 실시예에서, 장치는 챔버 벽들을 갖는 챔버 몸체와, 상기 챔버 몸체에 연결되는 반응 생성물 보트와, 상기 반응 생성물 보트 내에 배치되는 제 1 반응 생성물 소오스, 및 상기 반응 생성물 보트 내에 배치되는 제 2 반응 생성물 소오스를 포함한다. 상기 장치는 또한, 상기 반응 생성물 보트에 연결되는 제 1 저항 히터와, 상기 챔버 몸체에 연결되고 상기 반응 생성물 보트의 외측에 배치되는 제 3 반응 생성물 소오스와, 상기 챔버 벽들 내에 매설되는 제 2 저항 히터, 및 상기 챔버 몸체 내에 배치되고 상기 제 3 반응 생성물 소오스에 연결되는 가스 분배 샤워헤드를 포함할 수 있다. 상기 장치는 또한, 샤워헤드의 반대쪽에, 상기 챔버 몸체 내에 배치되는 서셉터와, 상기 서셉터 아래에 배치되는 하나 또는 그보다 많은 가열 요소와, 상기 챔버 벽을 따라 상기 챔버 몸체 내에 배치되고 상기 제 1 및 제 2 반응 생성물 소오스 모두에 연결되는 제 1 가스 링, 및 상기 제 1 가스 링에 연결되는 제 2 가스 링을 포함할 수 있으며, 상기 제 2 가스 링은 가스가 상기 챔버 몸체로 진입될 수 있도록 관통 형성되는 복수의 개구들을 가진다.
다른 실시예에서, 방법은 처리 챔버 내측으로 기판을 삽입하는 단계를 포함한다. 상기 처리 챔버는 기판이 상부에 배치되는 서셉터의 위에 배치되는 가스 분배 샤워헤드를 가진다. 상기 처리 챔버는 또한, 상기 가스 분배 샤워헤드와 상기 서셉터 사이의 상기 처리 챔버 내에 배치되는 가스 입구 링을 가진다. 상기 방법은 또한, 상기 처리 챔버로부터 떨어져서 제 1 반응 가스를 가열하는 단계와, 상기 가스 입구 링을 통해 상기 처리 챔버 내측으로 상기 제 1 반응 가스를 유입시키는 단계, 상기 가스 분배 샤워헤드를 통해 상기 처리 챔버로 제 2 반응 가스를 유입시키는 단계, 및 상기 처리 챔버의 벽들을 가열하는 단계를 포함한다. 상기 방법은 상기 기판을 회전시키는 단계, 및 상기 제 1 반응 가스와 상기 제 2 반응 가스의 반응 생성물인 층을 상기 기판 상에 증착시키는 단계를 포함할 수 있다.
본 발명의 전술한 특징들이 구체적으로 이해될 수 있는 방식으로, 위에서 간단히 요약한 본 발명에 대해 첨부 도면에 도시된 몇몇 실시예들을 참조하여 더욱 구체적으로 설명된다. 그러나, 첨부 도면들은 단지 본 발명의 전형적인 실시예들만을 도시하므로 본 발명에 대한 범주를 제한하는 것으로 고려되어서는 안 되며 다른 균등한 효과적인 실시예들이 허용될 수 있다는 것을 주목해야 한다.
도 1은 일 실시예에 따른 HVPE 장치(100)의 개략적인 도면이며,
도 2는 다른 실시예에 따른 장치(200)의 개략적인 등축도이며,
도 3a은 다른 실시예에 따른 처리 챔버(300)의 개략적인 등축도이며,
도 3b는 도 3a의 개략적인 횡단면도이며,
도 4는 다른 실시예에 따른 처리 챔버(400)의 개략적인 횡단면도이며,
도 5는 일 실시예에 따른 전구체 소오스(500)의 개략적인 횡단면도이며,
도 6은 다른 실시예에 따른 전구체 소오스(600)의 개략적인 횡단면도이며,
도 7은 일 실시예에 따른 처리 챔버 내의 부력을 도시하는 개략도이며,
도 8은 일 실시예에 따른 처리 챔버 내의 열 분포를 도시하는 개략도이다.
이해를 촉진시키기 위해, 도면들에 공통인 동일한 구성 요소들을 지칭하기 위해 가능한 한 동일한 도면 부호들이 사용되었다. 일 실시예에서 설명된 구성 요소들은 특별한 언급 없이도 다른 실시예들에 유리하게 사용될 수 있다고 이해해야 한다.
본 명세서에 설명된 실시예들은 일반적으로 HVPE 챔버에 관한 것이다. 상기 챔버는 챔버에 연결된 하나 또는 그보다 많은 전구체 소오스를 가질 수 있다. 두 개의 별도의 전구체 소오스가 챔버에 연결될 때, 두 개의 별도의 층들이 증착될 수 있다. 예를 들어, 갈륨 소오스 및 별도의 알루미늄 소오스는 갈륨 질화물 및 알루미늄 질화물이 동일한 처리 챔버 내에 있는 기판 상에 별도로 증착될 수 있도록 처리 챔버에 연결될 수 있다. 일 실시예에서, 5 개의 전구체 소오스들이 챔버에 연결될 수 있다. 그와 같은 전구체 소오스는 갈륨, 인듐, 알루미늄, 실리콘, 및 마그네슘과 같은 전구체들을 분배할 수 있다. 전구체들이 질소 함유 화합물을 형성하는데 사용될 때, NH3와 같은 질소 함유 가스가 사용될 수 있다. 질소는 전구체들과 별개의 위치 및 더 낮은 온도에서 처리 챔버로 유입될 수 있다. 챔버의 형상은 전구체 및 반응 가스가 고 농도로 혼합되는 것을 방지하기 위해 별개로 챔버에 유입되도록 설정될 수 있다. 챔버 관성(inertia)은 유동, 확산 및 대류에 의해 가스들을 혼합하도록 설계된다. 일 실시예에서, 상이한 온도들은 가스들이 챔버 벽에는 전혀 또는 거의 증착되지 않으면서 서로 혼합, 반응하여 기판 상에 증착될 수 있게 한다. 또한, 상기 챔버는 금속 산화물 소오스 전달 시스템을 갖추고 있다. 또한, 상기 챔버는 플라즈마 다운(down) 스트림, 가스 히터, 열선(hot wire) 등과 같은 활성 종 생성기를 갖추고 있다.
도 1은 일 실시예에 따른 HVPE 장치(100)의 개략도이다. 상기 장치는 리드(104)에 의해 둘러싸인 제 1 챔버(102)를 포함한다. 제 1 가스 소오스(110)로부터의 처리 가스는 가스 분배 샤워헤드(106)를 통해 제 1 챔버(102)로 전달된다. 일 실시예에서, 가스 소오스(110)는 질소 함유 화합물을 포함할 수 있다. 다른 실시예에서, 가스 소오스(110)는 암모니아를 포함할 수 있다. 일 실시예에서, 헬륨 또는 이원자 질소와 같은 불활성 가스가 또한, 가스 분배 샤워헤드(106) 또는 제 1 챔버(102)의 벽(108)을 통해 유입될 수 있다. 에너지 소오스(112)는 가스 소오스(110)와 가스 분배 샤워헤드(106) 사이에 배치될 수 있다. 일 실시예에서, 에너지 소오스(112)는 히터를 포함할 수 있다. 에너지 소오스(112)는 암모니아와 같은 가스 소오스(110)로부터의 가스를 붕괴시킴으로써, 질소 함유 가스로부터의 질소가 더욱 더 활성화될 수 있게 한다.
제 1 소오스(110)로부터의 가스와 반응하기 위해, 전구체 재료가 하나 또는 그보다 많은 제 2 소오스(118)로부터 전달될 수 있다. 하나 또는 그보다 많은 제 2 소오스(118)는 갈륨 및 알루미늄과 같은 전구체를 포함할 수 있다. 두 개의 전구체를 참조할 것이지만, 전술한 바와 같이 다소간의 전구체들이 전달될 수 있다고 이해해야 한다. 일 실시예에서, 상기 전구체는 전구체 소오스(118) 내에 액체 형태로 존재하는 갈륨을 포함한다. 다른 실시예에서, 상기 전구체는 고체 형태로 전구체 소오스(118) 내에 존재하는 알루미늄을 포함한다. 일 실시예에서, 알루미늄 전구체는 고체의 분말 형태일 수 있다. 상기 전구체는 전구체 소오스(118) 내의 전구체 위로 및/또는 전구체를 통해 반응 가스를 유동시킴으로써 제 1 챔버(102)로 전달될 수 있다. 일 실시예에서, 반응 가스는 이원자 염소와 같은 염소 함유 가스를 포함할 수 있다. 상기 염소 함유 가스는 염화물을 형성하기 위해 갈륨 또는 알루미늄과 같은 전구체 소오스와 반응할 수 있다. 일 실시예에서, 하나 또는 그보다 많은 제 2 소오스(118)들은 공정(eutectic) 재료 및 그들의 합금을 포함할 수 있다. 다른 실시예에서, HVPE 장치(100)는 도펀트 농도를 제어하기 위한 적어도 하나의 고유한 소오스(intrinsic source)뿐만 아니라 도프된 소오스를 처리하도록 배열될 수 있다.
전구체와 반응하기 위한 염소 함유 가스의 효율을 증가시키기 위해, 염소 함유 가스는 제 2 챔버(132) 내의 보트 영역을 통해 유입되어 저항 히터(120)에 의해 가열될 수 있다. 염소 함유 가스가 제 2 챔버(132)를 통해 유입(snake)되는 체류 시간을 증가시킴으로써, 염소 함유 가스의 온도가 제어될 수 있다. 염소 함유 가스의 온도를 증가시킴으로써, 염소는 전구체와 더 빠르게 반응할 수 있다. 환언하면, 염소 함유 가스의 온도는 염소와 전구체 간의 반응에 촉매역할을 한다.
전구체의 반응성을 증가시키기 위해, 전구체는 제 2 챔버(132) 내에 있는 저항 히터(120)에 의해 보트 내에서 가열될 수 있다. 예를 들어, 일 실시예에서 갈륨 전구체는 약 750 ℃ 내지 약 850 ℃ 범위의 온도로 가열될 수 있다. 염화물 반응 생성물은 그 후 제 1 챔버(102)로 전달될 수 있다. 반응성 염화물 생성물은 먼저 튜브(122)로 진입하여 튜브(122) 내에 균일하게 분포된다. 튜브(122)는 다른 튜브(124)에 연결된다. 염화물 반응 생성물은 제 1 튜브(122) 내에 균일하게 분포된 이후에 제 2 튜브(124)로 진입한다. 염화물 반응 생성물은 그 후 제 1 챔버(102) 내측으로 진입하며, 챔버에서 염화물 반응 생성물은 서셉터(114) 상에 배치된 기판(116) 상에 질화물 층을 형성하기 위해 질소 함유 가스와 혼합된다. 일 실시예에서, 서셉터(114)는 실리콘 탄화물을 포함할 수 있다. 상기 질화물 층은 예를 들어 갈륨 질화물 또는 알루미늄 질화물을 포함할 수 있다. 질소 및 염소와 같은 다른 반응 생성물은 배기구(126)를 통해 배기된다.
제 1 챔버(102)는 부력 효과로 이어질 수 있는 열 구배(thermal gradient)를 가질 수 있다. 예를 들어, 질소계 가스가 약 450 ℃ 내지 약 550 ℃ 범위의 온도에서 가스 분배 샤워헤드(106)를 통해 유입된다. 챔버 벽(108)은 약 600 ℃ 내지 약 700 ℃ 범위의 온도를 가질 수 있다. 서셉터(114)는 약 1050 ℃ 내지 1150 ℃ 범위의 온도를 가질 수 있다. 따라서, 제 1 챔버(102) 내의 온도차는 제 1 챔버(102)가 가열됨에 따라 제 1 챔버(102) 내에서 가스가 상승될 수 있게 하며 제 1 챔버(102)가 냉각됨에 따라 가스가 하락될 수 있게 한다. 가스의 상승 및 하락은 질소 가스와 염화물 가스의 혼합을 유발할 수 있다. 추가로, 부력 효과는 혼합으로 인해 벽(108) 상에 증착되는 갈륨 질화물 또는 알루미늄 질화물의 양을 감소시킬 수 있다.
제 1 챔버(102)의 가열은 서셉터(114) 아래에 배치된 램프 모듈(128)로 서셉터(114)를 가열함으로써 수행된다. 증착 중, 램프 모듈(128)은 제 1 챔버(102)를 위한 주요 열원이다. 램프 모듈(128)로서 도시되고 설명되지만, 다른 가열 소오스가 사용될 수 있다고 이해해야 한다. 제 1 챔버(102)의 추가의 가열은 제 1 챔버(102)의 벽(108) 내에 매설된 히터(130)의 사용에 의해 수행될 수 있다. 벽(108) 내에 매설된 히터(130)는 증착 공정 중에 있더라도 적은 열만을 제공할 수 있다. 열전쌍은 처리 챔버 내측의 온도를 측정하는데 사용될 수 있다. 열전쌍으로부터의 출력은 열전쌍으로부터 판독에 기초하여 히터(130)의 가열을 제어하는 제어기로 피드백될 수 있다. 예를 들어, 챔버가 너무 차가우면 히터(130)는 켜질 것이다. 챔버가 너무 뜨거우면 히터(130)는 꺼질 것이다. 추가로, 히터(130)로부터의 가열 양은 적은 양의 열이 히터(130)로부터 제공될 수 있도록 제어될 수 있다.
증착 공정 후에, 기판(116)은 제 1 챔버(102)로부터 정상적으로 꺼내진다. 램프 모듈(128)은 꺼진다. 램프 모듈(128)로부터의 열이 제공됨이 없이, 제 1 챔버(102)는 급속히 냉각될 것이다. 벽(108) 상에 증착될 수 있는 질화 전구체는 벽(108) 자체의 열팽창 계수와는 상이한 열팽창 계수를 가질 수 있다. 따라서, 질화 전구체는 열팽창으로 인해 박리될 수 있다. 바람직하지 않은 박리를 방지하기 위해, 챔버 벽(108) 내에 매설된 히터(130)는 열팽창를 제어하고 제 1 챔버(102)를 바람직한 챔버 온도로 유지하기 위해 켜질 수 있다. 히터(130)의 제어 또한, 열전쌍으로부터의 실시간 피드백을 기초로 할 수 있다. 일단 램프 모듈(128)이 꺼지면 히터(130)가 켜지거나 제 1 챔버(102)의 온도를 바람직한 온도로 유지할 때까지 상승됨으로써 질화 전구체가 박리되지 않고 기판을 오염시키지 않거나 서셉터(114)에 떨어지지 않으며 불균일한 서셉터(114) 표면을 형성하지도 않는다. 챔버 벽(108)을 상승 온도로 유지함으로써, 염소와 같은 세정 가스가 챔버 벽(108)으로부터 증착물을 세정하는데 더욱 효과적일 수 있다.
일반적으로, 증착 공정은 다음과 같이 진행될 것이다. 기판(116)이 먼저 제 1 챔버(102) 내측에 삽입되며 서셉터(114) 상에 배치된다. 일 실시예에서, 기판(116)은 사파이어를 포함할 수 있다. 램프 모듈(128)은 기판(16) 및 그에 따른 제 1 챔버(102)를 가열하도록 켜질 수 있다. 질소 함유 반응 가스는 제 1 소오스(110)로부터 처리 챔버로 유입될 수 있다. 질소 함유 가스는 질소 함유 가스가 더욱더 반응성 상태가 되도록 가스 히터와 같은 에너지 소오스(112)를 통과할 수 있다. 질소 함유 가스는 그 후 챔버 리드(104)와 가스 분배 샤워헤드(106)를 통과한다. 일 실시예에서, 챔버 리드(104)는 수냉될 수 있다.
전구체도 제 1 챔버(102)로 전달될 수 있다. 염소 함유 가스는 전구체 소오스(118) 내의 전구체를 통해 및/또는 전구체 위로 통과할 수 있다. 염소 함유 가스는 그 후 염화물을 형성하도록 전구체와 반응한다. 염화물은 제 2 챔버(132) 내의 저항 히터(120)에 의해 가열된 후에 상부 튜브(122) 내측으로 전달되며 여기서 염화물은 튜브(122) 내에 균일하게 분배된다. 염화물 가스는 그 후 제 1 챔버(102)의 내측으로 유입되기 이전에 다른 튜브(124)로 흘러내린다. 염소 함유 가스가 논의되었지만, 본 발명은 염소 함유 가스에 한정되지 않는다고 이해해야 한다. 오히려, 다른 화합물들이 HVPE 공정에 사용될 수 있다. 챔버 벽(108)은 벽(108) 내에 매설된 히터(130)로부터 생성된 최소량의 열을 가질 수 있다. 챔버(120) 내의 대부분의 열은 서셉터(114) 아래에 있는 램프 모듈(128)에 의해 생성된다.
제 1 챔버(102) 내의 열 구배로 인해, 염화물 가스 및 질소 함유 가스는 제 1 챔버(102) 내에서 상승 및 하락하며 그에 따라 기판(116) 상에 증착되는 질화물 화합물을 형성하도록 서로 혼합된다. 기판(116) 상의 증착 이외에도, 질화물 층은 제 1 챔버(102)의 다른 노출 영역에도 증착될 수 있다. 염화물 화합물 및 질소 함유 가스의 가스 반응 생성물은 배기구(126)를 통해 챔버로부터 배기될 수 있는 질소 및 염소를 포함할 수 있다.
일단 증착 공정이 완료되면, 램프 모듈(128)은 꺼지고 히터(130) 출력이 증가될 수 있다. 기판(116)은 제거될 수 있다. 히터(130) 출력은 열 팽창을 감소 또는 제거하며 그에 따라 소정의 세정 시간까지 임의의 증착된 질화물 재료를 제 위치에 유지하며 벽(108)으로부터 박리되어 유출입 기판(116)의 서셉터(114) 상에 떨어지는 것을 방지한다. 일단 증착 공정이 완료되면, 벽(108) 상에 증착된 임의의 질화물은 벽(108)의 질화물을 에칭 제거하기 위한 에칭제를 유입함으로써 제거될 수 있다. 세정 중에, 램프 모듈(128)은 꺼질 수 있으며 대부분의 열은 벽(108) 내에 매설된 히터(130)로부터 생성될 수 있다. 일단 새로운 기판(116)이 제 1 챔버(102) 내측에 놓이면, 상기 공정이 반복될 수 있다.
질소 함유 가스가 가스 분배 샤워헤드(106)를 통해 도입되고 전구체가 제 1 챔버(102)의 중앙에 대응하는 영역으로 전달되는 것으로 논의되었지만, 가스 유입 위치는 역전될 수 있다고 이해해야 한다. 그러나, 전구체가 샤워헤드(106)를 통해 유입되면, 샤워헤드(106)는 염화물 반응 생성물의 반응성을 증가시키도록 가열될 수 있다.
염화물 반응 생성물 및 암모니아가 상이한 온도로 전달되기 때문에, 공동 공급부를 통한 암모니아 및 염화물 반응 생성물의 전달이 문제가 될 수 있다. 예를 들어, 석영 샤워헤드가 암모니아 및 염화물 반응 생성물 모두를 공급하는데 사용된다면, 석영 샤워헤드는 암모니아 및 염화물 반응 생성물의 상이한 온도로 인해 균열이 생성될 수 있다.
또한, 증착 공정은 갈륨 질화물 층이 후속적으로 증착되는 사파이어 기판 상에 시이드 층으로서 얇은 알루미늄 질화물 층을 증착하는 단계를 포함할 수 있다. 갈륨 질화물 및 알루미늄 질화물 모두는 동일한 처리 챔버 내에서 증착될 수 있다. 그 후, 사파이어 기판은 제거되고 MOCVD 처리 챔버 내에 놓여져 다른 층이 증착될 수 있다. 몇몇 실시예에서, 알루미늄 질화물 층은 제거될 수 있다. 알루미늄 질화물 층과 갈륨 질화물 층 모두가 동일한 챔버 내에서 증착되는 경우에, 임의의 다른 전구체가 염소와 반응하여 염화물 반응 생성물을 형성하는 것을 방지하도록 이원자 질소의 역류가 사용될 수 있다. 이원자 질소는 염소가 다른 전구체와 접촉되게 유동될 수 있는 동안에는 반응되지 않는 전구체의 챔버 내측으로 유동될 수 있다. 따라서, 단지 하나의 전구체만이 한번에 반응하게 된다.
도 2는 다른 실시예에 따른 장치(200)의 개략적인 등축도이다. 상기 장치(200)는 챔버(204)에 연결된 전구체 소오스(202) 또는 보트(boat)를 포함한다. 상기 챔버(204)는 리드(212)에 의해 둘러싸여 있으며 클램프(206), 바닥(210) 및 인클로져(208)에 의해 제 위치에 유지된다. 염소 함유 가스는 통로(214)를 통해 전구체 소오스(202)로 유입된다. 염소 함유 가스는 염소 함유 가스의 온도가 전구체와 최적의 반응하는데 적합한 예정 온도로 상승될 수 있도록 전구체와 접촉하기 이전에 통로(214)를 통해 유입된다.
도 3a는 다른 실시예에 따른 처리 챔버(300)의 개략적인 등축도이다. 처리 챔버(300)는 제 1 전구체 소오스(302), 제 2 전구체 소오스(304), 염소 가스의 통행을 위한 통로(306), 상부 링(308), 하부 링(310), 및 측벽(312)을 포함한다. 도 3b는 도 3a의 개략적인 횡단면도이다. 염화물 반응 생성물은 제 1 상부 튜브(314)를 통해 챔버로 진입한 후에 튜브(314,316)들 사이에 분포되는 커넥터(318)를 통해 제 2 튜브(316)로 유동하기 이전에 챔버 내부에 균일하게 분포된다. 일 실시예에서, 실질적으로 동일한 복수의 커넥터(318)가 제공될 수 있다. 다른 실시예에서, 적어도 하나의 커넥터(318)가 적어도 하나의 다른 커넥터(318)와 상이한 복수의 커넥터(318)가 제공될 수 있다. 다른 실시예에서, 튜브(314,316)들 사이에 실질적으로 균일하게 분포되는 복수의 커넥터(318)가 제공될 수 있다. 다른 실시예에서, 튜브(314,316)들 사이에 불균일하게 분포되는 복수의 커넥터(318)가 제공될 수 있다. 일 실시예에서, 상부 및 하부 링(308,310)들은 불투명한 석영을 포함한다. 일 실시예에서, 벽(312)은 투명한 석영을 포함할 수 있다. 다른 실시예에서, 튜브(314,316)들은 투명한 석영을 포함할 수 있다. 하부 라이너(320)는 불투명한 석영을 포함할 수 있다. 링(308,310)들은 벽(312)들로부터 외측으로 연장하는 립(322)을 가질 수 있다. O-링은 O-링들이 가능한 한 가열된 챔버 벽(312) 및 램프 모듈로부터 멀리 떨어질 수 있도록 립(322)의 에지 외측에 배치될 수 있다. O-링은 전형적으로 약 250 ℃ 까지 사용될 수 있다. 그러므로, 챔버 몸체로부터 멀어지게 O-링을 이동시키는 것이 유리하다.
도 4는 다른 실시예에 따른 처리 챔버(400)의 개략적인 횡단면도이다. 처리 챔버(400)는 지지 축(420)에 의해 지지되는 서셉터(418)를 포함한다. 처리 챔버(400)는 챔버 벽(402)에 연결되는 제 1 튜브(404)를 갖는 챔버 벽(402)도 포함한다. 제 1 튜브(404)는 챔버 내측으로 방출되기 이전에 염화물 반응 생성물이 내측으로 초기에 유동하는 튜브이다. 튜브(404)는 하나 또는 그보다 많은 커넥터(408)를 통해 제 2 튜브(406)에 연결된다. 일 실시예에서, 하나 또는 그보다 많은 커넥터(408)는 염화물 반응 생성물의 유동이 실질적으로 균형을 이루도록 배열될 수 있다. 일 실시예에서, 실질적으로 동일한 복수의 커넥터(408)가 제공될 수 있다. 다른 실시예에서, 적어도 하나의 커넥터(408)가 적어도 하나의 다른 커넥터(408)와 상이한 복수의 커넥터(408)가 제공될 수 있다. 다른 실시예에서, 튜브(404,406)들 사이에 실질적으로 균일하게 분포되는 복수의 커넥터(408)가 제공될 수 있다. 다른 실시예에서, 튜브(404,406)들 사이에 불균일하게 분포되는 복수의 커넥터(408)가 제공될 수 있다. 상기 튜브(406)는 복수의 개구(410)를 가지며 상기 개구를 통해 염화물 반응 생성물이 처리 공간 내측으로 진입될 수 있게 한다. 일 실시예에서, 개구(410)는 제 2 튜브(406)를 따라 균일하게 분포될 수 있다. 다른 실시예에서, 개구(410)는 제 2 튜브(406)를 따라 불균일하게 분포될 수 있다. 일 실시예에서, 개구(410)는 실질적으로 유사한 크기를 가질 수 있다. 다른 실시예에서, 개구(410)는 상이한 크기를 가질 수 있다. 일 실시예에서, 개구(410)는 기판으로부터 멀어지는 방향으로 지향될 수 있다. 다른 실시예에서, 개구(410)는 대체로 기판을 향하는 방향으로 지향될 수 있다. 다른 실시예에서, 개구(410)는 기판의 증착 표면에 실질적으로 평행한 방향으로 지향될 수 있다. 다른 실시예에서, 개구(410)는 다중 방향으로 지향될 수 있다. 염화물 가스는 염소 함유 가스를 전구체 소오스 또는 보트의 내측으로 초기에 진입시킴으로써 형성되며 상기 통로(416) 내부로 유동된다. 염소 함유 가스는 튜브(414) 내의 통로 주위로 유입된다. 통로(416)는 전술한 저항 히터에 의해 가열된다. 따라서, 염소 함유 가스는 전구체와 접촉하기 이전에 온도가 상승한다. 일단 염소가 전구체와 접촉하면, 튜브(414)에 연결된 가스 공급구(412) 내의 통로(416)를 통해 유동되는 염화물 반응 생성물을 형성하도록 반응이 일어난다. 그 후, 염화물 반응 생성물은 균일하게 분포되고 난 후에 처리 챔버(400) 내측으로 배치된다.
도 5는 일 실시예에 따른 전구체 소오스(500)의 개략적인 횡단면도이다. 본 명세서에서 논의된 실시예에서, 전구체는 갈륨이지만, 상기 설명은 임의의 액체 전구체에도 적용될 수 있다고 이해해야 한다. 전구체 위에 떠있는 플로트(504: float)를 갖는 전구체 소오스(500)는 전구체 자체를 포함한다. 플로트(504)는 염소 가스가 전구체와 접촉되도록 관통해 흐를 수 있는 물품이다. 염소가 전구체와 접촉하게 될 때, 전구체의 일부가 사용될 것이다. 따라서, 액체 레벨은 시간이 지남에 따라 하락할 것이다. 그와 같이, 플로트(504)는 전구체의 레벨이 하락하는 경우에도 전구체에 대한 염소 가스의 노출이 실질적으로 동일해지도록 전구체 위에 떠있으면서 아래로 이동할 것이다. 플로트(504) 위의 영역(506)은 전구체(502)가 감소함에 따라 증가할 수 있다. 플로트(504)용 재료는 갈륨에 대한 석영 노출을 제거하기 위한 PbN을 포함할 수 있다. 플로트(504)는 지지 라이너(502) 상에 놓인 라이너(530) 내의 전구체 상에 놓인다.
도 6은 다른 실시예에 따른 전구체 소오스(600)의 개략적인 횡단면도이다. 본 명세서에서는 고체의 분말 알루미늄 전구체를 참조하여 설명하였지만, 전구체는 임의의 고체 전구체일 수 있다고 이해해야 한다. 전구체는 샤워헤드(604) 아래에 있으며 샤워헤드를 통해 염소 가스가 전구체와 접촉하도록 유동한다. 샤워헤드(604)는 염소 가스가 전구체에 노출되는 체류 시간을 증가시켜서 전구체의 최적 양이 처리 챔버로 전달될 수 있다. 샤워헤드(604)는 플로트가 아니므로, 미로(604: labyrinth) 위의 영역(604)은 시간이 지남에 따라 증가할 것으로 예상되지 않는다. 샤웨헤드(604)는 지지 라이너(602) 내에 놓인다.
도 7은 일 실시예에 따른 처리 챔버 내의 부력에 대한 개략적인 도면이다. 라인으로 도시한 바와 같이, 챔버 내의 가스 유동은 주기적이므로 가스가 챔버의 바닥으로부터 상승하고, 혼합된 후에 온도가 냉각되면서 챔버의 바닥 쪽으로 가라앉는다. 도 8은 일 실시예에 따른 처리 챔버 내의 열 분포에 대한 개략적인 도면이다. 도 8에 도시한 바와 같이, 온도 분포는 축선에 대해 실질적으로 대칭이지만, 챔버의 바닥으로부터 챔버의 상부로 온도 구배가 있다.
본 명세서에서 논의된 실시예들은 챔버 벽에 부착되는 임의의 증착 필름도 제조 기판 상의 결함을 치유하기에 충분할 정도로 부착되면서, 벽 증착을 최소화한 고온 벽 HVPE CVD 반응로 설계에 관한 것이다. 상기 챔버는 두 개의 반응 가스가 소정의 예열 온도들로 별도로 유입될 수 있도록 구성된다. 가스 주입은 두 개의 가스가 벽으로부터 떨어져 대부분 혼합되나 이상적인 사전혼합 및 고 품질의 필름 제조를 확보하기에 충분한 확산 길이, 체적 및 부력을 제공하도록 설계되었다.
챔버 설계에는 벽 온도 및 온도 구배를 관리하는 다중 가열 영역, 신속한 웨이퍼 온도 상승 및 하강을 위한 바닥 램프, 선택적인 희석 성능으로 챔버 내측과 직접적으로 인터페이스 접속하는 HVPE 보트 구조물, 및 부력 유동을 촉진하는 챔버 구조물을 갖춘 고온 벽 HVPE 반응로가 포함된다. 상기 챔버 설계는 반응 가스를 주 유동 스트림 내측으로 주입하는 방법을 가능하게 한다. 상기 챔버 설계에는 또한, 주 가스 혼합이 벽으로부터 떨어진 가스 체적 내에서 발생할 수 있게 하는 가스 주입 방법, 신속한 온도 증감을 위한 기판 히터, 온도 구배 제어를 위한 상부 히터, 및 혼합 및 부력 효과를 달성할 수 있는 별도의 가스 주입법이 포함된다. 상기 장치는 또한, 샤워헤드 특징을 결합한 제어가능한 석영 또는 세라믹 벽들 및 독립형 히터에 의해 가열되는 다중 금속 소오스를 포함한다. 보다 높은 온도에서 가스를 유지하기 위한 희석 가스로서 헬륨이 질소 대신에 사용될 수 있다. 상부 암모니아/질소 가스 또는 암모니아/헬륨 가스는 다중 판을 갖는 가열된 미로형 설계 또는 가스 히터를 사용하여 암모니아가 더 큰 반응성을 갖도록 가열될 수 있다. 상부 질소 소오스 및 희석제는 가스 히터와 같은 에너지 소오스에 의해 활성화될 수 있다. 반응 가스는 에너지 소오스에 의해 예열 또는 활성화된 금속 소오스 보트 위로 유동될 수 있다. 서셉터는 보다 양호한 가스 분포를 위해 회전될 수 있다. 기판의 에지로 가스 혼합물을 안내하기 위해 판이 사용될 수 있다. 또한, 배기구는 가열된 가스가 배기될 수 있는 챔버의 상부 또는 기판의 주변부에 놓일 수 있다.
본 발명의 실시예들에 대해 설명하였지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.

Claims (15)

  1. 챔버 벽들을 갖는, 제 1 챔버 몸체와 제 2 챔버 몸체;
    상기 제 2 챔버 몸체에 연결되는 반응 생성물 보트;
    상기 반응 생성물 보트 내에 배치되는 제 1 반응 생성물 소오스;
    상기 반응 생성물 보트 내에 배치되는 제 2 반응 생성물 소오스;
    상기 반응 생성물 보트에 연결되는 제 1 가열 요소;
    상기 제 1 챔버 몸체에 연결되고 상기 반응 생성물 보트의 외측에 배치되는 제 3 반응 생성물 소오스;
    상기 제 1 챔버 몸체의 챔버 벽들 내에 매설되는 제 2 가열 요소;
    상기 제 1 챔버 몸체 내에 배치되고 상기 제 3 반응 생성물 소오스에 연결되는 가스 분배 요소;
    상기 가스 분배 요소의 반대쪽에, 상기 제 1 챔버 몸체 내에 배치되는 서셉터;
    상기 서셉터 아래에 배치되는 하나 또는 그보다 많은 가열 요소들;
    상기 서셉터 주변의 상기 챔버 벽들을 따라 상기 제 1 챔버 몸체 내에 배치되고 상기 제 1 및 제 2 반응 생성물 소오스 모두에 연결되는 제 1 가스 링; 및
    상기 제 1 가스 링에 연결되고, 가스가 상기 제 1 챔버 몸체로 진입할 수 있도록 관통하는 복수의 개구를 갖는 제 2 가스 링을 포함하는,
    수소화물 기상 에피택시(hydride vapor phase epitaxy(HVPE)) 장치.
  2. 제 1 항에 있어서,
    상기 제 1 챔버 몸체는 불투명한 석영을 포함하는 하나 또는 그보다 많은 링들을 포함하며, 상기 제 1 챔버 몸체는 투명한 석영을 포함하는 하나 또는 그보다 많은 벽들을 가지는,
    수소화물 기상 에피택시 장치.
  3. 제 1 항에 있어서,
    상기 가스 분배 요소 위의 상기 제 1 챔버 몸체의 상기 챔버 벽들에 연결되는 챔버 리드(chamber lid)를 더 포함하며, 상기 가스 분배 요소는 가스 분배 샤워헤드를 포함하며, 상기 챔버 리드는 석영을 포함하는,
    수소화물 기상 에피택시 장치.
  4. 제 3 항에 있어서,
    상기 서셉터는 실리콘 탄화물을 포함하는,
    수소화물 기상 에피택시 장치.
  5. 제 4 항에 있어서,
    상기 제 1 및 제 2 반응 생성물 소오스 모두에 연결되는 제 4 반응 생성물 소오스를 더 포함하는,
    수소화물 기상 에피택시 장치.
  6. 제 5 항에 있어서,
    상기 제 1 및 제 2 반응 생성물 소오스 모두에 연결되는 불활성 가스 소오스; 및
    상기 서셉터 아래 위치에서 상기 제 1 챔버 몸체에 연결되는 진공 펌프를 더 포함하고,
    상기 제 1 가스 링 및 제 2 가스 링 각각은 불투명한 석영을 포함하는,
    수소화물 기상 에피택시 장치.



  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020117026834A 2009-04-10 2010-04-09 Hvpe 챔버 하드웨어 KR101690056B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US16839909P 2009-04-10 2009-04-10
US61/168,399 2009-04-10
US17263009P 2009-04-24 2009-04-24
US61/172,630 2009-04-24
US23087709P 2009-08-03 2009-08-03
US61/230,877 2009-08-03
US12/637,019 2009-12-14
US12/637,019 US8568529B2 (en) 2009-04-10 2009-12-14 HVPE chamber hardware
PCT/US2010/030492 WO2010118293A2 (en) 2009-04-10 2010-04-09 Hvpe chamber hardware

Publications (2)

Publication Number Publication Date
KR20120003483A KR20120003483A (ko) 2012-01-10
KR101690056B1 true KR101690056B1 (ko) 2016-12-27

Family

ID=42933312

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117026834A KR101690056B1 (ko) 2009-04-10 2010-04-09 Hvpe 챔버 하드웨어
KR1020117026829A KR101665304B1 (ko) 2009-04-10 2010-04-09 Hvpe 전구체 소오스 하드웨어

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117026829A KR101665304B1 (ko) 2009-04-10 2010-04-09 Hvpe 전구체 소오스 하드웨어

Country Status (5)

Country Link
US (2) US8491720B2 (ko)
KR (2) KR101690056B1 (ko)
CN (3) CN102414792B (ko)
TW (2) TWI503437B (ko)
WO (2) WO2010118295A2 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
CN102766902B (zh) * 2011-05-05 2015-12-02 北京北方微电子基地设备工艺研究中心有限责任公司 工艺腔室装置和具有该工艺腔室装置的基片处理设备
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
CN103014846A (zh) * 2013-01-14 2013-04-03 东莞市中镓半导体科技有限公司 一种材料气相外延用同心圆环喷头结构
US9327252B2 (en) 2013-03-15 2016-05-03 Applied Materials, Inc. Compact device for enhancing the mixing of gaseous species
US9373529B2 (en) * 2013-10-23 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Process tool having third heating source and method of using the same
USD767112S1 (en) * 2015-04-15 2016-09-20 K&N Engineering, Inc. Vent breather
JP6565502B2 (ja) * 2015-09-03 2019-08-28 株式会社島津製作所 成膜装置及び成膜方法
CN108070848A (zh) * 2016-11-11 2018-05-25 优材科技有限公司 加热器模块、薄膜沉积装置及方法
KR102165760B1 (ko) * 2018-02-12 2020-10-14 전주대학교 산학협력단 Hvpe반응기
CN109468680A (zh) * 2018-12-19 2019-03-15 东莞市中镓半导体科技有限公司 一种应用于氢化物气相外延设备的气体预热装置
US12018372B2 (en) 2021-05-11 2024-06-25 Applied Materials, Inc. Gas injector for epitaxy and CVD chamber
US12060651B2 (en) 2021-05-11 2024-08-13 Applied Materials, Inc. Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US12091749B2 (en) 2021-05-11 2024-09-17 Applied Materials, Inc. Method for epitaxially depositing a material on a substrate by flowing a process gas across the substrate from an upper gas inlet to an upper gas outlet and flowing a purge gas from a lower gas inlet to a lower gas outlet
CN114807886B (zh) * 2022-04-13 2024-05-17 北京北方华创微电子装备有限公司 工艺腔室及工艺方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050212001A1 (en) 2001-07-06 2005-09-29 Technologies And Devices International, Inc. Method for achieving low defect density AlGaN single crystal boules
US6969426B1 (en) 2002-02-26 2005-11-29 Bliss David F Forming improved metal nitrides
JP2007154297A (ja) 2005-12-08 2007-06-21 Tokyo Electron Ltd 成膜方法および成膜装置
US7438761B2 (en) 2000-09-01 2008-10-21 Ngk Insulators, Ltd. Apparatus for fabricating a III-V nitride film and a method for fabricating the same

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3063867A (en) 1958-12-16 1962-11-13 Western Electric Co Deposition and measurement of layer thickness
US4286436A (en) * 1980-06-16 1981-09-01 Chicago Bridge & Iron Company Falling film freeze exchanger
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4750975A (en) * 1986-03-17 1988-06-14 Uni-Frac, Inc. Vapor/liquid contact column structure
US5037624A (en) * 1987-03-24 1991-08-06 Advanced Technology Materials Inc. Composition, apparatus, and process, for sorption of gaseous compounds of group II-VII elements
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5359788A (en) 1993-12-22 1994-11-01 Gell Jr Harold A Coffee roaster
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (ja) 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5827427A (en) * 1996-03-11 1998-10-27 Kinetico Incorporated Tank assembly
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6533874B1 (en) * 1996-12-03 2003-03-18 Advanced Technology Materials, Inc. GaN-based devices using thick (Ga, Al, In)N base layers
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6254772B1 (en) * 1998-01-15 2001-07-03 Yiu Chau Chau Backwashable filtration system
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6101816A (en) * 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) * 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6179913B1 (en) 1999-04-16 2001-01-30 Cbl Technologies, Inc. Compound gas injection system and methods
US6410433B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited Thermal CVD of TaN films from tantalum halide precursors
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6413860B1 (en) * 1999-04-27 2002-07-02 Tokyo Electron Limited PECVD of Ta films from tanatalum halide precursors
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6265311B1 (en) * 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
EP1115147A4 (en) 1999-05-26 2007-05-02 Tadahiro Ohmi DEVICE FOR PLASMA TREATMENT
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6998152B2 (en) * 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
DE50100603D1 (de) 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US6475902B1 (en) * 2000-03-10 2002-11-05 Applied Materials, Inc. Chemical vapor deposition of niobium barriers for copper metallization
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
CN1331199C (zh) 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
DE60106675T2 (de) * 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US6837251B1 (en) * 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US7687888B2 (en) * 2000-08-04 2010-03-30 The Regents Of The University Of California Method of controlling stress in gallium nitride films deposited on substrates
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
AU2002252566A1 (en) 2001-03-30 2002-10-15 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
US6648966B2 (en) 2001-08-01 2003-11-18 Crystal Photonics, Incorporated Wafer produced thereby, and associated methods and devices using the wafer
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
CA2464664C (en) * 2001-10-12 2012-02-07 Polymer & Steel Technologies Holding Company, L.L.C. Composite pressure vessel assembly and method
TW200300701A (en) * 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
JP2006512748A (ja) 2001-12-21 2006-04-13 アイクストロン、アーゲー Iii−v半導体皮膜を非iii−v基板に沈積する方法
US6620225B2 (en) * 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US20050239675A1 (en) * 2002-04-01 2005-10-27 Munzer Makansi Carrier foam to enhance liquid functional performance
US20030192430A1 (en) * 2002-04-11 2003-10-16 Pearlstein Ronald Martin Helical built-in purifier for gas supply cylinders
CN1324772C (zh) * 2002-06-19 2007-07-04 日本电信电话株式会社 半导体发光器件
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US6911065B2 (en) * 2002-12-26 2005-06-28 Matheson Tri-Gas, Inc. Method and system for supplying high purity fluid
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US7172646B2 (en) * 2003-04-15 2007-02-06 Air Products And Chemicals, Inc. Reactive liquid based gas storage and delivery systems
EP1629522A4 (en) 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US7170095B2 (en) * 2003-07-11 2007-01-30 Cree Inc. Semi-insulating GaN and method of making the same
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US7329470B2 (en) * 2004-05-26 2008-02-12 Societe Bic Apparatus and method for in situ production of fuel for a fuel cell
US7303607B2 (en) * 2004-06-14 2007-12-04 Air Products And Chemicals, Inc. Liquid media containing Lewis acidic reactive compounds for storage and delivery of Lewis basic gases
GB2415707A (en) * 2004-06-30 2006-01-04 Arima Optoelectronic Vertical hydride vapour phase epitaxy deposition using a homogenising diaphragm
US7396381B2 (en) * 2004-07-08 2008-07-08 Air Products And Chemicals, Inc. Storage and delivery systems for gases held in liquid medium
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
JP2006120857A (ja) 2004-10-21 2006-05-11 Hitachi Cable Ltd 気相成長装置およびこれを用いた半導体基板の製造方法および半導体基板
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
US7527742B2 (en) 2005-06-27 2009-05-05 Momentive Performance Materials Inc. Etchant, method of etching, laminate formed thereby, and device
JP4696561B2 (ja) * 2005-01-14 2011-06-08 東京エレクトロン株式会社 気化装置及び処理装置
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN101845670A (zh) * 2005-03-10 2010-09-29 加利福尼亚大学董事会 用于生长平坦半极性氮化镓的技术
JP5156621B2 (ja) * 2005-03-17 2013-03-06 ノア プレシジョン リミテッド ライアビリティ カンパニー バブラー用温度制御装置
US7638058B2 (en) * 2005-04-07 2009-12-29 Matheson Tri-Gas Fluid storage and purification method and system
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7413649B2 (en) * 2005-07-29 2008-08-19 Gene Bittner Treatment apparatus with modular chemical containing units having one-way valve assemblies
JP4594820B2 (ja) 2005-08-03 2010-12-08 古河機械金属株式会社 ハイドライド気相成長装置、iii族窒化物半導体基板の製造方法
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
US7955569B2 (en) * 2007-03-14 2011-06-07 Hubert Patrovsky Metal halide reactor for CVD and method
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20080289575A1 (en) * 2007-05-24 2008-11-27 Burrows Brian H Methods and apparatus for depositing a group iii-v film using a hydride vapor phase epitaxy process
US7901576B2 (en) * 2007-08-06 2011-03-08 Enpress, L.L.C. Composite water treatment vessel including liquid distributor plates
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US7803337B1 (en) * 2008-02-06 2010-09-28 Vapor Point, LLC Method for treating a fluid to be scrubbed
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US9522773B2 (en) * 2009-07-09 2016-12-20 Entegris, Inc. Substantially rigid collapsible liner and flexible gusseted or non-gusseted liners and methods of manufacturing the same and methods for limiting choke-off in liners

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7438761B2 (en) 2000-09-01 2008-10-21 Ngk Insulators, Ltd. Apparatus for fabricating a III-V nitride film and a method for fabricating the same
US20050212001A1 (en) 2001-07-06 2005-09-29 Technologies And Devices International, Inc. Method for achieving low defect density AlGaN single crystal boules
US6969426B1 (en) 2002-02-26 2005-11-29 Bliss David F Forming improved metal nitrides
JP2007154297A (ja) 2005-12-08 2007-06-21 Tokyo Electron Ltd 成膜方法および成膜装置

Also Published As

Publication number Publication date
CN102414792A (zh) 2012-04-11
WO2010118295A2 (en) 2010-10-14
CN102414790A (zh) 2012-04-11
TW201100575A (en) 2011-01-01
TW201114957A (en) 2011-05-01
TWI503437B (zh) 2015-10-11
TWI480432B (zh) 2015-04-11
KR20120003483A (ko) 2012-01-10
WO2010118293A3 (en) 2011-01-20
US20100258052A1 (en) 2010-10-14
US20100258049A1 (en) 2010-10-14
WO2010118295A3 (en) 2011-01-20
CN104485277A (zh) 2015-04-01
KR20120006542A (ko) 2012-01-18
US8491720B2 (en) 2013-07-23
US8568529B2 (en) 2013-10-29
WO2010118293A2 (en) 2010-10-14
CN102414792B (zh) 2014-11-05
CN104485277B (zh) 2017-06-30
KR101665304B1 (ko) 2016-10-12

Similar Documents

Publication Publication Date Title
KR101690056B1 (ko) Hvpe 챔버 하드웨어
JP6117169B2 (ja) 三塩化ガリウムの噴射方式
JP5575482B2 (ja) 単結晶iii−v族半導体材料のエピタキシャル堆積法、及び堆積システム
KR101094913B1 (ko) Iii-v 족 반도체 물질을 형성하기 위한 제조 공정 시스템
JP5575483B2 (ja) Iii−v族半導体材料の大量製造装置
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US20180171479A1 (en) Materials and coatings for a showerhead in a processing system
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
TWI496935B (zh) Mocvd腔室在原位清潔後利用nh3淨化之去汙染
JP2012525718A (ja) HVPEにおいてその場プレ−GaN堆積層を形成する方法
US8148241B2 (en) Indium surfactant assisted HVPE of high quality gallium nitride and gallium nitride alloy films
US20130068320A1 (en) Protective material for gas delivery in a processing system
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant