CN104334775B - 沉积系统的气体注入组件、包括这种组件的沉积系统和相关方法 - Google Patents

沉积系统的气体注入组件、包括这种组件的沉积系统和相关方法 Download PDF

Info

Publication number
CN104334775B
CN104334775B CN201380029900.2A CN201380029900A CN104334775B CN 104334775 B CN104334775 B CN 104334775B CN 201380029900 A CN201380029900 A CN 201380029900A CN 104334775 B CN104334775 B CN 104334775B
Authority
CN
China
Prior art keywords
lid
gas
gas inlet
precursor gases
type injector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201380029900.2A
Other languages
English (en)
Other versions
CN104334775A (zh
Inventor
C·卡尼扎瑞斯
R·贝尔特拉姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soitec SA filed Critical Soitec SA
Publication of CN104334775A publication Critical patent/CN104334775A/zh
Application granted granted Critical
Publication of CN104334775B publication Critical patent/CN104334775B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

遮挡式注入器包括气体注入口、内侧壁和用于引导气体流过遮挡式注入器的至少两个隆起部。隆起部中的每个从毗邻气体注入口中的孔的位置向着遮挡式注入器的气体出口延伸并且设置在内侧壁之间。沉积系统包括具有发散地延伸的内侧壁的底座、气体注入口、盖和至少两个发散地延伸的隆起部,这些隆起部用于引导气流过至少部分由底座的内侧壁和盖的底表面限定的空间的中央区域。在基板上形成材料的方法包括使前体流过此遮挡式注入器并且引导前体的一部分流过具有至少两个隆起部的遮挡式注入器的中央区域。

Description

沉积系统的气体注入组件、包括这种组件的沉积系统和相关 方法
技术领域
本公开涉及用于将气体注入沉积系统的化学沉积腔室中的气体注入组件(诸如,包括注入口、底座和盖的遮挡式注入器(visor injector))、包括这种组件的系统,以及使用这种组件和系统在基板上形成材料的方法。
背景技术
半导体结构是在制造半导体器件的过程中使用或形成的结构。半导体器件包括例如电信号处理器、电子存储器件、光活性器件(例如,发光二极管(LED)、光伏(PV)器件等)和微机电(MEM)器件。这种结构和材料经常包括一种或多种半导体材料(例如,硅、锗、碳化硅、III-V族半导体材料等),并且可包括集成电路的至少一部分。
由元素周期表上的III族和V族中的元素的组合形成的半导体材料被称为III-V族半导体材料。示例III-V半导体材料包括诸如氮化镓(GaN)、氮化铝(AlN)、氮化铝镓(AlGaN)、氮化铟(InN)和氮化铟镓(InGaN)的III族氮化物材料。氢化物气相外延(HVPE)是用于在基板上形成(例如,生长)III族氮化物材料的化学气相沉积(CVD)技术。
在用于形成GaN的示例HVPE工艺中,包含碳化硅(SiC)或氧化铝(Al2O3,经常被称为“蓝宝石”)的基板布置在化学沉积腔室中并且加热至升高的温度。氯化镓(例如,GaCl、GaCl3)和氨(NH3)的化学前体在腔室内混合并且发生反应,形成GaN,GaN在基板上外延生长以形成GaN层。诸如,当通过使盐酸(HCl)蒸汽在熔融镓上流动来形成氯化镓时,可在腔室内形成前体中的一种或多种(即,原位),或者可在注入腔室内之前形成前体中的一种或多种(即,非原位)。
在现有已知的构造中,可通过具有发散的内侧壁的大体平坦的气体注入器(经常被称为“遮挡”或“遮挡式注入器”)将前体氯化镓注入腔室中。可通过多口注入器将前体NH3注入腔室中。在注入腔室中后,最初通过延伸至靠近基板边缘的位置的遮挡式注入器的盖分离这些前体。当前体到达盖的端部时,前体混合并且发生反应,以在基板上形成GaN材料的层。
发明内容
提供本发明内容是为了以简化形式介绍构思的选择。将在以下本公开的示例实施方式的具体实施方式中,进一步详细描述这些构思。本发明内容不旨在指明要求保护的主题的关键特征或必要特征,也不旨在用于限制要求保护的主题的范围。
在一些实施方式中,本公开包括一种遮挡式注入器,该遮挡式注入器包括气体注入口,气体注入口包括主体、贯穿所述主体延伸的孔以及毗邻所述孔的后壁。遮挡式注入器还包括:内侧壁,这些内侧壁从所述后壁向着所述遮挡式注入器的气体出口延伸;至少两个隆起部,所述至少两个隆起部用于引导气流流过所述遮挡式注入器。所述至少两个隆起部均从毗邻所述孔的位置向着所述气体出口延伸。所述至少两个隆起部设置在所述内侧壁之间。
在一些实施方式中,本公开包括一种沉积系统。该沉积系统包括:具有发散地延伸的内侧壁的底座、毗邻内侧壁的紧密接近的端部的气体注入口以及设置在底座上和气体注入口上的盖。该沉积系统还包括至少两个发散地延伸的隆起部,这些隆起部用于引导气体通过至少部分地由底座的内侧壁和盖的底表面限定的空间的中央区域。
在一些实施方式中,本公开包括一种在基板上形成材料的方法。根据此方法,使第一前体气体流过包括气体注入口、底座和盖的遮挡式注入器。引导所述第一前体气体的一部分流过所述遮挡式注入器的中央区域,其中,在所述气体注入口的内侧壁之间形成有所述气体注入口的至少两个隆起部。该方法还包括使所述第一前体气体流出所述遮挡式注入器并且向着毗邻所述遮挡式注入器设置的基板流动。
附图说明
虽然本说明书以具体指出和明确要求保护什么被视为本发明实施方式的权利要求书为结束,但更具结合附图进行阅读的对本公开实施方式的某些示例的描述,可更容易确定本公开的实施方式的优点,其中:
图1是化学沉积腔室的实施方式的简化部分立体图,其示出基于计算机模型和模拟计算的、经过遮挡式注入器通过化学沉积腔室并且到基板上的气流;
图2示出由计算机模型和模拟产生的图表,该图表表明在沉积工艺期间图1的基板上的前体的质量分数;
图3是由计算机模型和模拟产生的曲线图,该曲线图表明在沉积工艺期间图1的基板上的平均前体质量分数;
图4A至图4C示出根据本公开的实施方式的气体注入口的各种视图;
图4A示出根据本公开的实施方式的气体注入口的顶部平面图;
图4B示出通过图4A的剖面线4B-4B截取的气体注入口的剖视图;
图4C示出图4A和图4B的气体注入口的立体图;
图5是包括图4A的气体注入口、盖和底座的根据本公开的实施方式的遮挡式注入器的分解立体图;
图6示出为了清晰起见被去除了盖的图5的遮挡式注入器的顶视图;
图7示出通过图5的遮挡式注入器的气流;
图8示出由计算机模型和模拟产生的图表,该图表表明在沉积工艺期间在前体流过图5的遮挡式注入器之后基板上的前体的质量分数;
图9是由计算机模型和模拟产生的曲线图,该曲线图表明在沉积工艺期间图8的基板上的平均前体质量分数;
图10A至图10E示出根据本公开的另一个实施方式的盖的各种视图;
图10A是根据本发明的实施方式的盖的顶部平面图;
图10B是图10A的盖的底部平面图;
图10C是图10A和图10B的盖底部的一部分的平面图;
图10D是沿着图10C的剖面线10D-10D截取的图10A至图10C的盖的局部剖视图;
图10E是图10A至图10D的盖的立体图;
图11A示出包括底座、图4A的气体注入口和图10A的盖的根据本公开的实施方式的遮挡式注入器;
图11B示出为了清晰起见被去除了盖的一些部分的图11A的遮挡式注入器;
图12示出通过图11A的遮挡式注入器的气流的模型;
图13示出由计算机模型和模拟产生的图表,该图表表明在前体流过图11A的遮挡式注入器之后基板上的前体的质量分数;以及
图14示出由计算机模型和模拟产生的曲线图,该曲线图表明基板上的平均前体质量分数。
具体实施方式
本文呈现的图示不意味着是任何特定材料、结构或期间的实际视图,而只是用于描述本公开的实施方式的理想表现方式。
如本文使用的,关于给定参数、性质或条件的术语“基本上”意指本领域的普通技术人员将理解给定参数、性质或条件满足在一定变化程度内(诸如,可接受的制造公差内)达到的程度。
如本文使用的,使用诸如“第一”、“第二”、“前”、“后”、“上”、“下”、“顶”、“底”、“相对”等任何相关术语是为了清晰且方便地理解本公开和附图并且没有暗示或取决于任何特定偏好、取向或次序,除非上下文另外清楚地指示。
如本文使用的,术语“气体”意指并且包括既没有自主的形状又没有自主的体积的流体。气体包括蒸汽。因此,当本文中使用术语“气体”时,它可被解释为“气体或蒸汽”的含义。
如本文使用的,短语“氯化镓”意指并且包括一氯化镓(GaCl)和三氯化镓(GaCl3)中的一种或多种。例如,氯化镓可以基本上由GaCl组成,基本上由GaCl3组成,或者基本上由GaCl和GaCl3二者组成。
本公开包括可用于使气体向着基板流动诸如以在基板表面上沉积或以其它方式形成材料(例如,半导体材料、III-V族半导体材料等)的结构和方法。在具体实施方式中,本公开涉及遮挡式注入器及其组件(例如,气体注入口、底座和盖)、使用这种遮挡式注入器的沉积系统,使用这种遮挡式注入器在基板上沉积或以其它方式形成半导体材料的方法和使气体流过这种遮挡式注入器的方法。遮挡式注入器的气体注入口、底座和盖中的一个或多个可包括用于引导气体流过遮挡式注入器的一个或多个隆起部。以下,进一步详细公开这种结构和方法的示例。
图1示出沉积系统的腔室100(例如,HVPE沉积腔室)并且包括大体代表流过腔室100的气体的计算流体动力学(CFD)模型。示出了气流线102,其代表从气体注入口104开始,穿过底座106,流到基板108上并且流入腔室100的其它部分中的氯化镓(例如,CaCl、CaCl3)。为了清晰起见,从图1中去除了设置在气体注入口104和底座106上的盖,尽管该模型是基于此盖存在于腔室100中的假设而产生的。另外,在假设氨(NH3)正从多口注入器112流过腔室100的前提下产生图1的模型,尽管为了清晰起见在图1中没有表现出这种流动。
尽管本公开描述了在腔室100中流动氯化镓和NH3以在基板108上形成GaN,但本公开还可应用于流动其它气体,诸如以形成除了GaN外的材料。事实上,本领域的普通技术人员将认识到,本公开的结构和方法以及其组件和元件可用于涉及使一种或多种气体流入并且通过沉积腔室的许多应用中。
如图1中所示,腔室100是大体矩形腔室,其中,氯化镓和NH3发生反应,在腔室100内大体居中设置的基板108上形成GaN材料。气态氯化镓可通过气体注入口104注入腔室100中。氯化镓可流出气体注入口104并且通过底座106,其中,发散的内侧壁110将氯化镓流体分散到基板108上。另外,气态NH3可通过多口注入器112被注入腔室100中。氯化镓和NH3在本文中可被统称为前体。另外,诸如N2、H2、SiH4、HCl等的一种或多种吹扫气体可与前体一起注入腔室100中,尽管这种吹扫气体没有直接参与形成GaN材料的反应。前体中的一者或两者可在注入腔室100中之前被加热。在2010年2月17日提交的、名称为“GAS INJECTORS FORCVD SYSTEMS WITH THE SAME(气体注入器及带有气体注入器的CVD系统)”的国际公开No.WO 2010/101715A1中公开了在注入腔室100中之前加热氯化镓前体的一种方法,该国际公开的全部内容以引用方式并入本文中。前体可被预加热至大约500℃以上。在一些实施方式中,前体可被预加热至大约650℃以上,诸如大约700℃和大约800℃之间。在被加热之前,氯化镓前体可基本上由三氯化镓(CaCl3)构成。在加热和/或注入化学沉积腔室中后,CaCl3中的至少一部分可热分解成例如一氯化镓(GaCl)和其它副产物。因此,在化学沉积腔室中,氯化镓前体可基本上由GaCL构成,尽管还会存在一些CaCl3。另外,基板108还可在注入前体之前被加热至大约500℃以上。在一些实施方式中,基板108可被预加热至大约900℃和大约1000℃之间的温度。
基板108可包含上面可形成(例如,生长、外延生长、沉积等)GaN或另一种所需材料的任何材料(例如,另一种III-V族半导体材料)。例如,基板108可包含碳化硅(SiC)和氧化铝(Al2O3,经常被称为“蓝宝石”)。基板108可以是上面将要形成GaN的单个的所谓“晶圆”材料,或者它可以是用于保持上面将要形成GaN的材料的多个小基板的基座(例如,涂布有SiC的石墨基座)。
气体注入口104和底座106的构造可致使氯化镓中绝大部分沿着底座106的内侧壁110流动,从而在底座106的中央留下其中只有相对少量的氯化镓流动的区域114,在本文中被称为“死区(dead zone)”。此死区114可促成例如氯化镓的再循环116的区域。氯化镓的再循环116可促成基板108上的不均匀氯化镓流动分布。例如,在底座106中存在死区114可促成基板108的中央部分上的氯化镓流相对较重的集中,如图1中所示,这会造成基板108的中央部分中的GaN材料厚度增大。另外,氯化镓的再循环会降低通过腔体100的气流以及在基板108上形成GaN材料的过程的可控性和可预测性。
图2示出代表在图1的腔室100操作期间基板108的表面上的氯化镓质量分数的图表(由CFD模型产生的)。图2中示出的轮廓代表具有不同氯化镓质量分数范围的区域118A至118J之间的边界,当从图2的立体图观察时,这些范围是从右到左减小的。因此,最右边区域118A可代表相对最高的氯化镓质量分数范围,相邻区域118B可代表相对第二高的氯化镓质量分数范围,依此类推。最左边区域118J可代表相对最低的氯化镓质量分数范围。
图3示出表明NH3和氯化镓的平均前体质量分数随着距离基板108中央的位置的变化而变化的曲线图。基板108可在HVPE工艺期间旋转,以提高基板108上形成GaN材料的均匀度。因此,通过对基板108上不同位置的前体质量分数数据求平均以估计旋转基板108上的前体质量分数,产生图3的曲线图。
参照图2和图3并结合图1,死区114和氯化镓的再循环116可能会导致基板108上的氯化镓的相对不均匀的质量分数。氯化镓质量的不均匀可与基板108上不均匀形成GaN相关联。如图3中所示,基板108的中央(即,在图形位置零米(0m))和外边缘(即,在图形位置-0.1m和0.1m)可表现出相对高的氯化镓质量分数,而基板108的中央和外边缘之间的区域可表现出相对低的氯化镓质量分数。因此,该模型表明在作为模型基础的条件下正在基板108上形成的GaN在基板108的中央和外边缘处相对厚而在中央和外边缘之间的区域中相对薄。
图4A至图4C示出根据本公开的气体注入口124的各种视图。孔126可贯穿气体注入口124的主体延伸,气态氯化镓流过该主体,诸如,当在图4A的立体图中观察时在出页面的方向上,当在图4B的立体图中观察时在从右向左的方向上。在一些实施方式中,孔126可贯穿气体注入口124的主体延伸,使得气体注入口124的后壁128至少基本上与孔126相切。另外,孔126可至少基本上居中地位于气体注入口124的内侧壁130之间,内侧壁130从后壁128向着正面132发散地延伸。气体注入口124还可包括设置在内侧壁130之间的隆起部134,隆起部134可从毗邻孔126的位置向着正面132发散地延伸。隆起部134中的每个可具有外侧第一面136和内侧第二面138。
至少气体注入口124的影响气流的那些部分(例如,孔126、后壁128、内侧壁130、隆起部134)可基本上关于对称轴A对称地定位,对称轴A居中地贯穿气体注入口124,从后壁128延伸到正面132。如图4A中所示,隆起部134中的每个可至少基本上居中地设置在邻近的内侧壁130和对称轴A之间。
尽管气体注入口124的各种元件的大小、尺寸、形状和构造都可以经过修改,诸如用于流动不同气体,用于流动不同温度的气体,用于以不同速率流动气体,用于在不同大小的基板上形成材料等,但将针对气体注入口124的一个实施方式描述示例尺寸,这个实施方式适于气态氯化镓以足够温度和速率流过气体注入口124,与NH3发生反应,以在基板上形成GaN材料。
根据一个实施方式,如图4A中所示,例如,后壁128可在大体平行于正面132的方向上延伸,达到大约0.125英寸(0.32cm)和大约0.75英寸(1.91cm)之间(诸如,大约0.472英寸(1.20cm))的长度B。例如,平行于对称轴A并且垂直于后壁128的从后壁128到正面132的距离C可在大约0.5英寸(1.27cm)和大约2.0英寸(5.08cm)之间,诸如,大约0.855英寸(2.17cm)。例如,内侧壁130中的每个可按相对于对称轴A成大约十五度(15°)和大约四十五度(45°)之间(诸如,大约三十度(30°))的角度D从后壁128延伸到正面132。例如,后壁128和内侧壁130中的每个之间的交叉线可以大约0英寸(0cm)(即,锐角拐角)和大约0.25英寸(0.64cm)之间的半径E(诸如,大约0.04英寸(0.10cm))弯曲。例如,孔126的中央和正面132之间的平行于对称轴A的距离F可在大约0.25英寸(0.64cm)和大约1.9英寸(4.83cm)之间,诸如,大约0.7英寸(1.78cm)。例如,隆起部134中的每个可按相对于对称轴A成大约零度(0°)(即,平行于对称轴A)和大约四十五度(45°)之间(诸如,大约十四点五度(14.5°))的角度G从毗邻孔126的位置向着正面132延伸。例如,对称轴A和各隆起部134的外侧第一面136的毗邻孔126的那个端部之间的距离H可在大约0.1英寸(0.25cm)和大约0.75英寸(1.91cm)之间,诸如,大约0.25英寸(0.64cm)。例如,对称轴A和各隆起部134的外侧第一面136的在正面132上的那个端部之间的距离J可在大约0.1英寸(0.25cm)和大约1.75英寸(4.45cm)之间,诸如,大约0.36英寸(0.91cm)。例如,隆起部134的平行于对称轴A截取的长度K可在大约0.4英寸(1.02cm)和大约1.9英寸(4.83cm)之间,诸如,大约0.569英寸(1.45cm)。例如,各隆起部134在其外侧第一面136和内侧第二面138之间的宽度L在大约0.01英寸(0.03cm)和大约0.125英寸(0.32cm)之间,诸如,大约0.039英寸(0.10cm)。
如图4B中所示,例如,孔126的直径M可在大约0.2英寸(0.51cm)和大约0.5英寸(1.27cm)之间,诸如,大约0.31英寸(0.79cm)。例如,后壁128、内侧壁130和隆起部134中的每个可从气体注入口124的主表面突出的高度N是大约0.02英寸(0.05cm)和大约0.125英寸(0.32cm)之间,诸如,大约0.05英寸(0.13cm)。气体注入口124的其它部分可以是与底座和/或盖组装的任何传统形状和尺寸。例如,气体注入口124的外表面可具有与底座的腔体互补的形状和大小,使得气体注入口124可至少部分安置在腔体内。
尽管气体注入口124的内侧壁130和隆起部134被示出是基本上线性的,但本公开不受此限制。例如,可代替地,内侧壁130和隆起部134中的一个或多个可沿着弯曲路径或沿着阶梯状路径延伸。
气体注入口124可由任何材料形成,该材料可在气体注入口124将要在操作期间经受的条件(例如,化学试剂、温度、流速、压力等)下充分保持其形状。另外,气体注入口124的材料可被选定成抑制与流过其中的气体(例如,前体)的反应。以举例的方式而非限制,气体注入口124可由金属、陶瓷和聚合物中的一种或多种形成。在一些实施方式中,例如,气体注入口124可至少基本上由石英(诸如,被火焰磨光的透明熔融石英)构成。在一些实施方式中,气体注入口124可包含SiC材料。例如,气体注入口124可在安装到化学沉积腔室内之前被用10%氢氟(HF)酸溶液之后再用蒸馏水和/或去离子水冲洗进行清洁,以减少腔体中的污染物。
参照图5,气体注入口124可如假想线所指示地与底座106和盖140组装在一起,以形成供安装在化学沉积腔室内的遮挡式注入器。盖140可被确定大小并且构造成互补地装配到底座106和气体注入口124上。图6示出组装后的气体注入口124和底座106的顶视图,为了清晰起见去除了盖140。底座106和盖140中的每个可包含金属、陶瓷和聚合物中的一种或多种。在一些实施方式中,底座106和盖140中的一者或两者可包含石英材料。在一些实施方式中,底座106和盖140中的一者或两者可包含SiC材料。
尽管遮挡式注入器在图5中被示出为包括被组装在一起以形成遮挡式注入器的分开形成的底座106、盖140和气体注入口124,但本公开不受此限制。例如,底座106、盖140和气体注入口124中的任何两个或全部三个可被形成为整体。在一些实施方式中,底座106和气体注入口124可以是整体的部分。在其它实施方式中,盖140和气体注入口124可以是整体的部分。
参照图5和图6,底座106可包括内侧壁110,内侧壁110从毗邻气体注入口124的位置发散地延伸到毗邻在HVPE工艺期间上面将要形成例如GaN的基板108的位置。底座106的内侧壁130可按与气体注入口124的内侧壁130(图4A)的延伸角度D(图4A)至少基本上相同的相对于对称轴P的角度(诸如,相对于对称轴P成大约30°)延伸。对称轴P可在内侧壁110之间的中间延伸。凹陷142可沿着底座106的内侧壁110中的每个形成,以将盖140的特征部设置在凹陷142,如以下参照图10A至图10E的盖160更详细说明的。在一些实施方式中,底座106的内侧壁110可与气体注入口124的内侧壁130在至少基本上近似的方向上延伸,底座106的内侧壁110可与气体注入口124的内侧壁130是连续的。在其它实施方式中,底座106的内侧壁110可与气体主入口124的内侧壁130在不同的方向上延伸。在一些实施方式中,底座106的内侧壁110可沿着弯曲(例如,凹进或凸出)路径或阶梯状路径延伸。
至少基本上平坦的表面144可在底座106的内侧壁110之间延伸。底座106还可包括沿着底座106的弯曲端边缘从内侧壁110中的一个延伸到另一个的唇缘146。唇缘146可至少部分限定底座106的气体出口。可选地,底座106可包括一个或多个通道148,可通过通道148将其它气体(例如,诸如H2、N2、SiH4、HCl等的吹扫气体)引入腔室中。
图7示出通过图5的遮挡式注入器的气流的CFD模型。为了清晰起见,只示出了气体注入部分124和底座106中的气体沿着其流动的部分,图7中未示出盖140。气体(例如,氯化镓)可通过气体注入口124的孔126注入,进入表面144、内侧壁130和111以及盖140(图5)之间的容积中。因为内侧壁130和110的发散导致气体膨胀所通过的空间的容积,气体的速率会降低,气体可从气体注入口124处相对窄的流动分散成在唇缘146上的相对较宽的流动。
如图7中所示,相比于其中气体注入口104不包括任何隆起部134的图1中示出的流动,流出孔126的气体可被隆起部134以更均匀的方式导向底座106的唇缘146。隆起部134可因此通过将气体导向底座106的中央区域来减小和/或消除图1中示出的死区114。尽管在通过组装后的气体注入口124、底座106和盖140(图5)的流动中出现一些气体再循环150,但这种气体再循环150会比图1中示出的气体再循环116少。另外,在图7中的唇缘146上退出底座106的气体可比退出图1中的底座106的气体相对更均匀地分布。
图8示出代表由于氯化镓流过包括气体注入口124、底座106和盖140的遮挡式注入器造成的基板108表面上的氯化镓质量分数的CFD模型。图8中示出的轮廓代表具有不同氯化镓质量分数范围的区域152A至152J之间的边界,当从图8的立体图观察时,这些范围是从右到左减小的。因此,区域152A可代表相对最高的氯化镓质量分数范围,相邻区域152B可代表相对第二高的氯化镓质量分数范围,依此类推。最左边区域152J可代表相对最低的氯化镓质量分数范围。如通过比较图8的图表与图2的图表可看出的,图8的图表中的轮廓线表现出在基板上在垂直上下方向(从图的立体图来看)上移动的横向左右方向上的偏差小。
图9示出表明NH3和氯化镓的平均前体质量分数随着距离基板108中央的位置的变化而变化的曲线图,其原因在于,使氯化镓流过包括气体注入口124、底座106和盖140的遮挡式注入器。基板108可在HVPE工艺期间旋转,以提高基板108上形成GaN材料的均匀度。因此,通过对基板108上不同位置的前体质量分数数据求平均以估计旋转基板108上的前体质量分数,产生图9的曲线图。
参照图8和图9并结合图7,相比于图1至图3中示出和建模的实施方式,包括隆起部134的气体注入口124可引导氯化镓流过其中,使其更均匀地分布在基板108上。氯化镓质量分数的改善的均匀度可与基板108上形成GaN材料的改善的均匀度相关联。比较图9的曲线图与图3的曲线图,相比于氯化镓被引导通过气体注入口104(图1)时,当氯化镓被引导通过气体注入口124(图7)时,基板108上的平均氯化镓质量分数会相对更均匀。因此,在基板108上由流过气体注入口124和底座106的前体氯化镓形成的GaN材料的厚度可在基板108上具有改善的均匀度。例如,使用现有已知隔板注入器形成的平均厚度约为5μm的GaN材料可具有平均厚度的大约20%的层厚度的标准偏差。相比之下,根据本公开形成的平均厚度约为5μm的GaN材料可具有平均厚度的大约10%的层厚度的标准偏差。
在一些实施方式中,本公开还包括在基板上形成材料(例如,半导体材料,诸如III-V族半导体材料)的方法。再参照图4A至图7,气体注入口124、底座106和盖140可被如上所述地组装并且设置在与图1中示出的腔室100类似的化学沉积腔室内。基板108(在图6中用虚线示出)可毗邻气体注入口124、底座106和盖140设置。基板108可在腔室内旋转。基板108可被加热至诸如大约500℃以上的升高温度。在一些实施方式中,基板108可被预加热至大约900℃和大约1000℃之间的温度。
第一前体气体(例如,气态氯化镓)可流过气体注入口124中的孔126,进入气体注入口124和设置在气体注入口124上方的盖140之间的空间中。可通过提供气体注入口124的发散的内侧壁130来减小第一前体气体的速率。第一前体气体可因隆起部134中的一个或多个被引导通过气体注入口124,隆起部134发散地从毗邻孔126的位置延伸至毗邻气体注入口124的正面132。隆起部134中的一个可在内侧壁130的第一内侧壁和对称轴A之间大体居中地设置,隆起部134中的另一个可在内侧壁130的第二内侧壁和对称轴A之间大体居中地设置。第一前体气体的一部分可被引导成在第一内侧壁130和相邻隆起部134之间流动,第一前体气体的另一部分可被引导成在隆起部134之间流动,第一前体气体的又一部分可被引导成在第二内侧壁130和相邻隆起部134之间流动。引导第一气体前体通过气体注入口124可导致引导第一气体前体流过组装后的气体注入口124、盖140和底座106的中央区域。以上,描述第一前体气体可流过的气体注入口124及其组件的另外特性(例如,大小、形状、材料、角度等)的示例细节。
在第一前体气体流过气体注入口124之后,第一前体气体可从气体注入口124起,在底座106和盖140之间流动,流向基板108。另外,可通过提供底座106的发散的内侧壁110来减小第一前体气体的速率。第一前体气体可被引导到沿着底座106的完全端边缘设置的唇缘146上,退出包括气体注入口124、底座106和盖140的遮挡式注入器。第一前体气体接着可流到基板108上。
第二前体气体(例如,气态NH3)可诸如通过以上参照图1描述的多口注入器112被注入腔室中,在第一前体气体的对面并且大体在与第一前体气体的流动相同的方向上沿着盖140的主表面流动。可选地,一种或多种吹扫气体(例如,H2、N2、SiH4、HCl等)也可诸如通过底座106(图5和图6)的通道148在腔室中流动,如上所述。第一前体气体、第二前体气体和吹扫气体中的一个或多个可在进入腔室之前、在进入腔室时和/或在进入腔室之后被加热。例如,第一前体气体、第二前体气体和吹扫气体中的一个或多个可被预加热至大约500℃以上的温度。在一些实施方式中,第一前体气体、第二前体气体和吹扫气体中的一个或多个可被预加热至大约650℃以上,诸如,在大约700℃和大约800℃之间。
在第一前体气体退出包括气体注入口124、底座106和盖140的遮挡式注入器之后,并且在第二前体气体到达盖140毗邻基板108的端部之后,第一前体气体和第二前体气体可被混合,发生反应并且在基板108上形成(例如,生长、外延生长、沉积等)材料。形成在基板108上的材料可以是半导体材料,包括得自第一前体气体的至少一个原子(例如,Ga)和得自第二前体气体的至少一个原子(例如,N)的化合物(例如,III族氮化物化合物,例如,GaN化合物)。第一前体气体和第二前体气体中没有在基板108上形成材料的那些部分(例如,Cl和H,诸如,以HCl的形式)可与吹扫气体一起流出腔室。使用具有隆起部134的气体注入口124以所描述方式引导第一前体气体流动可使得在基板108上能够形成厚度均匀度改善的材料。
图10A至图10E示出本公开的盖160的另一个实施方式的各种视图。以与图5中示出的盖140类似的方式,盖160可被确定大小并且被构造成互补地装配到底座106和气体注入口124上。如图10A至图10C中所示,盖160可至少基本上关于对称轴Q对称。参照图10A至图10E,盖160可包括顶部主表面162和与顶部主表面162相对的底部主表面164。顶部主表面162可以至少基本上是平坦的。盖160的气体出口面166可基本上是半圆形和凹形的,用于在操作期间部分限定基板108,使其毗邻气体出口面166设置。因此,盖160任一侧的前体气体(例如,氯化镓和NH3)可通过盖160至少基本上彼此隔离,直到前体气体到达毗邻基板108的边缘的位置,如图10A中的虚线所示。
如图10B至图10E中所示,盖160的底部主表面164可包括从其突出的许多特征。突出部168可被确定大小和形状,以便当与气体注入口124组装在一起时(图5和图6)设置在气体注入口124上方,诸如,以至少部分装配在气体注入口124所处的底座106中的腔体内部。发散肋170可从突出部168延伸到气体出口面166并且可被确定大小和形状,以便当与底座106的内侧壁110组装在一起时(图5和图6)沿着底座106的内侧壁110延伸。如上所述,底座106可包括沿着其内侧壁110形成的凹陷142(图5)。盖160的发散肋170的至少一部分在与底座106的凹陷142中的一个组装在一起时可设置在这个凹陷142内部。如图10B至图10E中所示,发散肋170可从盖160的底部主表面164突出,达到至少与突出部168基本上相同的程度。
斜坡式气体出口表面172可与底部主表面164成一定角度地延伸到盖160的气体出口面166,达到与发散肋170从底部主表面164突出基本上相同的高度。隆起部174可发散地从突出部168向着气体出口面166延伸。隆起部174可从盖160的底部主表面164突出,达到比突出部168(如图10D和图10E中所示)更大的程度。隆起部174中的每个可在相邻发散肋170和对称轴Q之间至少基本上居中地设置。各隆起部174毗邻突出部168的端部可被设置成,当与气体注入口124(图4A和图4C)的正面132上的气体注入口124的隆起部134的端部组装在一起时毗邻这些端部。例如,盖160的隆起部174可被构造成至少基本上是共线的并且当与气体注入口124的隆起部134组装在一起时与隆起部134是连续的。
尽管盖160的各种元件的大小、尺寸、形状和构造经过修改,诸如用于流动不同气体,用于流动不同温度的气体,用于以不同速率流动气体,用于在不同大小的基板108上形成材料等,但将针对盖160的一个实施方式描述示例尺寸,这个实施方式适于气态氯化镓以足够温度和速率流动,与NH3发生反应,以在基板上形成GaN。
据一个实施方式,如图10A中所示,例如,盖160的气体出口面166的半径R可在大约4英寸(10.16cm)和大约6.5英寸(16.51cm)之间,诸如,大约4.5英寸(11.43cm)。
如图10B中所示,例如,突出部168的第一宽度S可在大约1英寸(2.54cm)和大约3英寸(7.62cm)之间,诸如,大约1.650英寸(4.19cm)。例如,垂直于第一宽度S的第二宽度T可在大约0.6英寸(1.52cm)和大约2.5英寸(6.35cm)之间,诸如,大约0.925英寸(2.35cm)。例如,盖160的与气体出口面166相对的那侧的突出部168的拐角的半径U可在大约零英寸(0cm)(即,锐角拐角)和大约0.25英寸(0.64cm)之间,诸如,大约0.13英寸(0.33cm)。发散肋170可从突出部168的拐角起至少基本上连续地延伸。例如,在发散肋170中的每个和突出部168之间的交叉线处,突出部168的边缘和发散肋170之间的内径V可在大约零英寸(0cm)(即,锐角拐角)和大约0.5英寸(1.27cm)之间,诸如,大约0.25英寸(0.64cm)。例如,发散肋170中的每个可按大约十五度(15°)和大约四十五度(45°)之间(诸如,大约29.3°)的角度X从突出部168延伸到气体窗口面166。例如,发散肋170中的每个的横向宽度Y可在大约0.5英寸(0.13cm)和大约0.25英寸(0.64cm)之间,诸如,大约0.095英寸(0.24cm)。例如,各发散肋170毗邻盖160的气体出口面166的端部的外表面和对称轴Q之间的距离Z可在大约2英寸(5.08cm)和大约4英寸(10.16cm)之间,诸如,大约3.10英寸(7.87cm)。例如,斜坡式气体出口表面172与底部主表面164交叉的边缘的半径AA可在大约4.2英寸(10.67cm)和大约7英寸(17.78cm)之间,诸如,大约4.850英寸(12.32cm)。
如图10C中所示,例如隆起部174毗邻突出部168的端部之间的内部距离AB可在约0.2英寸(0.51cm)和大约3.5英寸(8.89cm)之间,诸如,大约0.72英寸(1.83cm)。例如,隆起部174中的每个的平行于对称轴Q截取的长度AC可在约1英寸(2.54cm)和大约3英寸(7.67cm)之间,诸如,大约1.97英寸(5.00cm)。例如,隆起部174中的每个的横向宽度AD可在大约0.01英寸(0.03cm)和大约0.125英寸(0.32cm)之间,诸如,大约0.039英寸(0.10cm)。例如,对称轴Q和各隆起部174之间的角度AE可在大约零度(0°)(即,平行于对称轴Q)和大约四十五度(45°)之间,诸如,大约十四点五度(14.5°)。
如图10D中所示,例如,盖160在顶部主表面162和底部主表面164之间的厚度AF可在大约0.05英寸(0.13cm)和大约0.375英寸(0.95cm)之间,诸如,大约0.25英寸(0.25cm)。例如,突出部168和发散肋170可从底部主表面164突出的距离AG可在大约0.02英寸(0.05cm)和大约0.125英寸(0.32cm)之间,诸如,大约0.045英寸(0.11cm)。例如,隆起部174可从底部主表面164突出的距离AH可在大约0.02英寸(0.05cm)和大约0.25英寸(0.64cm)之间,诸如,大约0.145英寸(0.37cm)。例如,盖160与气体出口面166(图10E)相对的端面和突出部168与气体出口面166相对的端部之间的距离AJ可在大约0.25英寸(0.64cm)和大约1英寸(2.54cm)之间,诸如,大约0.520英寸(1.32cm)。例如,斜坡式气体出口表面172的平行于底部主表面164截取的并且从与底部主表面164的交叉处延伸到盖160的气体出口面166的宽度AK在大约0.2英寸(0.51cm)和大约0.5英寸(1.27cm)之间,诸如,大约0.350英寸(0.89cm)。例如,斜坡式气体出口表面172可按大约两度(2°)和大约十五度(15°)之间(诸如,大约七度(7°))的角度AL从底部主表面164延伸到气体出口面166。
盖160可由任何材料形成,该材料可在盖160在操作期间将经受的条件(例如,化学试剂、温度、流速、压力等)下充分保持其形状。另外,盖160的材料可被选定成抑制与抵着和/或沿着盖160流动的气体(例如,前体)的反应。以举例的方式而非限制,盖160可由金属、陶瓷和聚合物中的一种或多种形成。在一些实施方式中,例如,盖160可包含石英材料,诸如,被火焰磨光的透明熔融石英。盖160可在安装到化学沉积腔室内之前被用10%HF酸溶液之后再用蒸馏水和/或去离子水冲洗进行清洁,以减少腔体中的污染物。
如图11A和图11B中所示,底座106、气体注入口124和盖160可被组装在一起。在图11A中,气体注入口124和底座106的部分以及盖160的特征被用虚线示出,因为在图11A的立体图中,这些组件和特征设置在盖160下方。在图11B中,盖160中除了隆起部174之外的部分被去除,以更清楚地示出气体(例如,气态氯化镓)可流动通过的区域。如图11A和图11B中所示,当底座106、气体注入口124和盖160被组装在一起时,气体注入口124的隆起部134可与盖160的隆起部174至少基本上对齐并且与之是连续的。
尽管遮挡式注入器在图11A和图11B中被示出为包括被组装在一起形成遮挡式注入器的分开形成的底座106、盖160和气体注入口124,但本公开不受此限制。例如,底座106、盖160和气体注入口124中的任何两个或全部三个可被形成为整体,基本上如以上参照图5的底座106、盖140和气体注入口124描述的。
图12示出通过组装后的气体注入口124、底座106和盖160(图11A和图11B)气流的CFD模型。为了清晰起见,在图12中只示出气体注入口124、底座106和盖160中的气体沿着其流动的部分。参照图12,气体(例如,氯化镓)可通过气体注入口124的孔126注入,进入表面144、内侧壁130和111和盖160(图11A和图11B)之间的容积中。因为由于内侧壁130和110的发散导致气体膨胀,所以气体的速率会降低,气体可从气体注入口124处相对窄的流动分散成在唇缘146上的相对较宽的流动。
如图12中所示,相比于其中气体注入口104不包括任何隆起部的图1中示出的流动,流出孔126的气体可被气体注入口124的隆起部134以更均匀的方式导向底座106的唇缘146。另外,从气体注入口124流向唇缘146(并最终流向毗邻唇缘146设置的基板)的气体可进一步被盖160(图11A和图11B)的隆起部174引导和分布。隆起部134和174可因此通过将气体导向底座106的中央区域来减小和/或消除图1中示出的死区114。图12的CFD模型示出在隆起部174和底部106的内侧壁110之间,在通过底座106的流中会出现一些气体再循环176。尽管气体再循环176可比图7中示出的气体再循环有所增加,但这种气体再循环176会比图1中示出的气体再循环116少。另外,即使可沿着隆起部174出现一些再循环176,在图12中的唇缘146上退出底座106的气体可比退出图1中的底座106的气体相对更均匀地分布。
图13示出代表由于氯化镓流过包括气体注入口124、底座106和盖160的遮挡式注入器造成的基板108表面上的氯化镓质量分数的CFD模型。图13中示出的轮廓代表具有不同氯化镓质量分数范围的区域178A至178J之间的边界,当从图13的立体图观察时,这些范围是从右到左减小的。因此,区域178A可代表相对最高的氯化镓质量分数范围,相邻区域178B可代表相对第二高的氯化镓质量分数范围,依此类推。最左边区域178J可代表相对最低的氯化镓质量分数范围。如通过比较图13的图表与图2的图表可看出的,图13的图表中的轮廓线表现出在基板上在垂直上下方向(从图的立体图来看)上移动的横向左右方向上的偏差小。
图14示出表明NH3和GaCl3的平均前体质量分数随着距离基板108中央的位置的变化而变化的曲线图,该曲线图是通过使氯化镓流过包括气体注入口124、底座106和盖160的遮挡式注入器得到的。基板108可在HVPE工艺期间旋转,以提高基板108上形成GaN材料的均匀度。因此,通过对基板108上不同位置的前体质量分数数据求平均以估计旋转基板108上的前体质量分数,产生图14的曲线图。
参照图13和图14并结合图12,相比于图1至图3中示出和建模的实施方式,包括隆起部134的气体注入口124和包括隆起部174的盖160(图11A和图11B)可引导氯化镓流过其中,使其更均匀地分布在基板108上。氯化镓质量分数的改善的均匀度可与基板108上形成GaN材料的改善的均匀度相关联。比较图14的曲线图与图3的曲线图,相比于氯化镓被引导通过气体注入口104(图1)时,当氯化镓被引导通过组装后的气体注入口124、盖160和底座106时,基板108上的平均氯化镓质量分数会相对更均匀。因此,在基板108上由流过组装后的气体注入口124、盖160和底座106的前体氯化镓形成的GaN材料的厚度可在基板108上具有改善的均匀度。
尽管具有隆起部174的盖160在图11A至图12中被示出为与具有隆起部134的气体注入口124结合在一起使用,但本公开不受此限制。例如,在一些实施方式中,具有隆起部174的盖160可与底座106和没有任何隆起部的气体注入口104组装在一起。
另外,尽管以上已经参照图4A至图4C将气体注入口124描述为包括从其延伸的隆起部134并且以上已经参照图10B至图10E将盖160描述为包括从其底表面164突出的隆起部174,但本公开不受此限制。举例来说,被描述为从气体注入口124延伸的隆起部134可另选地从图10B至图10E中示出的盖160的突出部168延伸。又如,被描述为从盖160突出的隆起部174可另选地从底部106的表面144突出(图5至图7)。
在一些实施方式中,本公开包括在基板上形成材料(例如,半导体材料,诸如III-V族半导体材料)的另外的方法。再参照图10至图12,气体注入口124、底座106和盖160可如上所述地组装并且被设置在与图1的腔体100类似的化学沉积腔室内。基板108(在图10A中用虚线示出)可毗邻组装后的气体注入口124、底座106和盖160设置。基板108可在腔室内旋转。基板108可被加热至诸如大约500℃以上的升高温度。在一些实施方式中,基板108可被预加热至大约900℃和大约1000℃之间的温度。
第一前体气体(例如,气态氯化镓)可流过气体注入口124中的孔126,进入气体注入口124和设置在气体注入口124上方的盖140之间的空间中,基本上如以上参照图4A至图7描述的。另选地,第一前体气体可流过没有任何隆起部的气体注入口,诸如,图1中示出的气体注入口104。
在第一前体气体流过气体注入口124之后,第一前体气体可从气体注入口124起,在底座106和盖160之间流动,流向基板108。第一前体气体可通过隆起部174中的一个或多个被引导通过底座106,隆起部174发散地沿着盖160从毗邻气体注入口124的位置向着盖160的气体出口面166延伸。隆起部174中的一个可在发散肋170中的第一发散肋和盖160的对称轴Q之间大体居中地设置。隆起部134中的另一个可在发散肋170中的第二发散肋和盖160的对称轴Q之间大体居中地设置。第一前体气体的一部分可被引导成在底座106的第一内侧壁110和相邻隆起部174之间流动,第一前体气体的另一部分可被引导成在隆起部174之间流动,第一前体气体的又一部分可被引导成在底部106的第二内侧壁130和相邻隆起部174之间流动。第一前体气体可被引导成在沿着底部106的弯曲端边缘设置的唇缘146和盖160的斜坡式气体出口表面172之间流动,以退出包括气体注入口124、底座106和盖160的遮挡式注入器。以上,描述第一前体气体可沿着其流动的盖160及其组件的另外特性(例如,大小、形状、材料、角度等)的示例细节。第一前体气体接着可流到基板108上。
基本上如以上描述的,第二前体气体可在第一前体气体的对面并且大体在与第一前体气体的流动相同的方向上沿着盖160(图10A和图10D)的顶部主表面162流动,第一前体气体和第二前体气体可被混合,发生反应并且在基板108上形成材料。使用具有隆起部174的盖160以所描述方式引导第一前体气体流动可使得在基板108上能够形成厚度均匀度改善的材料。
再参照图4A至图7,本公开的遮挡式注入器可包括大体平坦的空间,该空间至少部分由沿着底部106的弯曲端边缘发散地从气体注入口124的孔126向着唇缘146延伸的内侧壁110、130、底部106的至少基本上平坦表面144、盖140的表面限定。隆起部134可设置在该空间内,以发散地从毗邻气体注入口124的孔126的位置向着唇部146延伸。如以上说明的,隆起部134中的每个可设置在遮挡式注入器中的空间内,至少基本上居中地在相邻内侧壁110、130和在相对内侧壁110、130之间的中间延伸的对称轴之间。隆起部134可被确定大小和位置,以引导和分布流过遮挡式注入器的气体,诸如,以将气体的一部分向着遮挡式注入器中的空间的中央区域引导。再参照图10B至图12,本公开的遮挡式注入器中的空间可另选地和/或另外地至少部分由盖160的底部主表面164限定。盖160的隆起部174可设置在该空间内,作为气体注入口124的补充或替代。隆起部174可发散地延伸通过该空间并且可被确定大小并且被设置成引导和分布流过遮挡式注入器的气体,诸如,以将气体的一部分向着遮挡式注入器中的该空间的中央区域引导。
以上描述的本公开的示例实施方式不限制本发明的范围,因为这些实施方式仅仅是由所附权利要求书及其法律上的等同物限定的本发明的实施方式的示例。任何等同的实施方式意图在本发明的范围内。事实上,根据描述,对于本领域的普通技术人员,诸如所描述元件的替代可用组合的本公开的各种修改形式(除了本文中示出和描述的修改形式之外)会变得清楚。这种修改形式和实施方式还意图落入所附权利要求书的范围内。

Claims (11)

1.一种遮挡式注入器,该遮挡式注入器包括:
气体注入口,该气体注入口包括主体、贯穿所述主体延伸的孔以及毗邻所述孔的后壁;
内侧壁,这些内侧壁从所述后壁向着所述遮挡式注入器的气体出口延伸;以及
两个隆起部,所述两个隆起部用于引导气流流过所述遮挡式注入器,所述两个隆起部均从毗邻所述孔的位置向着所述气体出口延伸,所述两个隆起部被设置在所述内侧壁之间,
其中,所述内侧壁从所述后壁向着所述气体出口发散地延伸,
其中,所述两个隆起部从毗邻所述孔的所述位置发散地延伸到所述气体注入口的正面,
其中,所述孔、所述后壁、所述内侧壁和所述两个隆起部关于对称轴对称,并且
其中,所述两个隆起部中的各隆起部居中地设置在所述内侧壁中的邻近的内侧壁和所述对称轴之间。
2.根据权利要求1所述的遮挡式注入器,其中,所述两个隆起部中的各隆起部以相对于所述对称轴成零度(0°)和四十五度(45°)之间的角度从毗邻所述孔的所述位置向着所述气体出口延伸。
3.根据权利要求1所述的遮挡式注入器,其中,所述后壁与所述孔相切。
4.根据权利要求1所述的遮挡式注入器,其中,所述气体注入口由石英构成。
5.根据权利要求1所述的遮挡式注入器,所述遮挡式注入器还包括底座和盖。
6.根据权利要求5所述的遮挡式注入器,其中,所述气体注入口、所述底座和所述盖中的至少两者被形成为整体。
7.一种在基板上形成材料的方法,该方法包括:
使第一前体气体流过遮挡式注入器,该遮挡式注入器包括气体注入口、底座和盖;
引导所述第一前体气体的一部分流过所述遮挡式注入器的中央区域,其中,在所述气体注入口的内侧壁之间形成有所述气体注入口的两个隆起部;以及
使所述第一前体气体流出所述遮挡式注入器并且向着毗邻所述遮挡式注入器设置的基板流动,
其中,所述两个隆起部关于对称轴对称,发散地从毗邻所述气体注入口的位置向着所述盖的气体出口侧延伸,并且
其中,所述两个隆起部中的各隆起部居中地设置在所述内侧壁中的邻近的内侧壁和所述对称轴之间。
8.根据权利要求7所述的方法,所述方法还包括:
使第二前体气体在所述第一前体气体的对面沿着所述盖的主表面流动;以及
使所述第一前体气体和所述第二前体气体发生反应以在所述基板上形成材料。
9.根据权利要求8所述的方法,其中:
使第一前体气体流过遮挡式注入器包括:引导氯化镓穿过所述遮挡式注入器;
使第二前体气体在所述第一前体气体的对面沿着所述盖的主表面流动包括:使氨沿着所述盖的所述主表面流动;并且
使所述第一前体气体和所述第二前体气体发生反应以在所述基板上形成材料包括:在所述基板上外延地生长氮化镓材料。
10.根据权利要求7所述的方法,所述方法还包括:引导所述第一前体气体的所述一部分流过所述遮挡式注入器的所述中央区域,其中,在所述盖的表面上形成有两个附加的隆起部,并且所述两个附加的隆起部从毗邻所述气体注入口的位置向着所述盖的气体出口侧延伸。
11.根据权利要求7所述的方法,所述方法还包括:在使所述第一前体气体流过所述遮挡式注入器之前,将所述第一前体气体加热至五百摄氏度(500℃)以上的温度。
CN201380029900.2A 2012-06-07 2013-05-24 沉积系统的气体注入组件、包括这种组件的沉积系统和相关方法 Expired - Fee Related CN104334775B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261656725P 2012-06-07 2012-06-07
US61/656,725 2012-06-07
PCT/IB2013/001053 WO2013182878A2 (en) 2012-06-07 2013-05-24 Gas injection components for deposition systems, deposition systems including such components, and related methods

Publications (2)

Publication Number Publication Date
CN104334775A CN104334775A (zh) 2015-02-04
CN104334775B true CN104334775B (zh) 2017-05-10

Family

ID=48670615

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380029900.2A Expired - Fee Related CN104334775B (zh) 2012-06-07 2013-05-24 沉积系统的气体注入组件、包括这种组件的沉积系统和相关方法

Country Status (5)

Country Link
US (1) US20150099065A1 (zh)
CN (1) CN104334775B (zh)
DE (1) DE112013002823T5 (zh)
TW (1) TWI591199B (zh)
WO (1) WO2013182878A2 (zh)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10636626B2 (en) 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112714948A (zh) * 2018-09-26 2021-04-27 应用材料公司 气体分配组件及其操作
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN111101110B (zh) * 2018-10-29 2022-03-22 北京北方华创微电子装备有限公司 进气集成结构、工艺腔室和半导体处理设备
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11486038B2 (en) * 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP7504000B2 (ja) * 2020-10-28 2024-06-21 東京エレクトロン株式会社 基板処理装置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
CN1200773A (zh) * 1995-10-23 1998-12-02 沃特金斯·约翰逊公司 用于半导体处理的气体注射系统
CN1611637A (zh) * 2003-10-31 2005-05-04 次世设备有限公司 化学汽相沉积设备
WO2009082608A1 (en) * 2007-12-20 2009-07-02 S.O.I.Tec Silicon On Insulator Technologies Apparatus for delivering precursor gases to an epitaxial growth substrate
CN101481796A (zh) * 2007-12-31 2009-07-15 周星工程股份有限公司 气体注入器和具有气体注入器的膜沉积设备
CN102388162A (zh) * 2009-03-03 2012-03-21 S.O.I.Tec绝缘体上硅技术公司 用于cvd系统的气体注射器以及具有该气体注射器的cvd系统

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
US6572707B1 (en) * 2000-06-14 2003-06-03 Simplus Systems Corporation Vaporizer for sensitive precursors
US20060216415A1 (en) * 2005-03-24 2006-09-28 United Technologies Corporation Vapor aluminide coating gas manifold
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US9481944B2 (en) * 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
CN1200773A (zh) * 1995-10-23 1998-12-02 沃特金斯·约翰逊公司 用于半导体处理的气体注射系统
CN1611637A (zh) * 2003-10-31 2005-05-04 次世设备有限公司 化学汽相沉积设备
WO2009082608A1 (en) * 2007-12-20 2009-07-02 S.O.I.Tec Silicon On Insulator Technologies Apparatus for delivering precursor gases to an epitaxial growth substrate
CN101481796A (zh) * 2007-12-31 2009-07-15 周星工程股份有限公司 气体注入器和具有气体注入器的膜沉积设备
CN102388162A (zh) * 2009-03-03 2012-03-21 S.O.I.Tec绝缘体上硅技术公司 用于cvd系统的气体注射器以及具有该气体注射器的cvd系统

Also Published As

Publication number Publication date
CN104334775A (zh) 2015-02-04
WO2013182878A2 (en) 2013-12-12
WO2013182878A3 (en) 2014-03-06
DE112013002823T5 (de) 2015-03-19
TWI591199B (zh) 2017-07-11
TW201404924A (zh) 2014-02-01
US20150099065A1 (en) 2015-04-09

Similar Documents

Publication Publication Date Title
CN104334775B (zh) 沉积系统的气体注入组件、包括这种组件的沉积系统和相关方法
US20150167161A1 (en) Gas injection components for deposition systems and related methods
US20150292088A1 (en) Deposition systems having interchangeable gas injectors and related methods
US9644267B2 (en) Multi-gas straight channel showerhead
CN100547721C (zh) 制备高质量化合物半导体材料的沉积技术
TWI322462B (en) Apparatus for inverted multi-wafer mocvd fabrication
CN102174708B (zh) Iii族复合氮化物半导体结构的外延生长
TWI499085B (zh) 藉由空間侷限磊晶法生長iii-v族材料層
EP1271607A2 (en) Chemical vapor deposition apparatus and method
TW200820327A (en) Hotwall reactor and method for reducing particle formation in GaN MOCVD
KR20090082509A (ko) 갈륨 질화물 증착에서의 반응 가스 감소
TWI521089B (zh) 氣相成膜裝置
TW201621979A (zh) 用於mocvd反應系統的晶圓載盤
CN105839074A (zh) 用于太阳能电池的金属有机化学气相沉积设备
WO2019138229A1 (en) Method for producing a graphene coated light-emitting device by mocvd.
CN210030883U (zh) 具有多区域引射器块的化学气相沉积设备
TW201108305A (en) Gas phase growing apparatus for group III nitride semiconductor
US20150000596A1 (en) Mocvd gas diffusion system with gas inlet baffles
Azoulay et al. Selective growth of GaAs by organometallic vapor phase epitaxy at atmospheric pressure
KR101481540B1 (ko) 화학기상 증착장치
KR101060759B1 (ko) 화학 기상 증착 장치
Talalaev Transport phenomena in vapor phase epitaxy reactors
JP2005228757A (ja) 気相成長装置及び気相成長方法
KR102165760B1 (ko) Hvpe반응기
JP4474149B2 (ja) 気相成長装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170510

Termination date: 20190524