CN104199261A - 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物 - Google Patents

适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物 Download PDF

Info

Publication number
CN104199261A
CN104199261A CN201410384014.8A CN201410384014A CN104199261A CN 104199261 A CN104199261 A CN 104199261A CN 201410384014 A CN201410384014 A CN 201410384014A CN 104199261 A CN104199261 A CN 104199261A
Authority
CN
China
Prior art keywords
composition
weight
formula
triazine
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410384014.8A
Other languages
English (en)
Other versions
CN104199261B (zh
Inventor
大卫·W·明赛克
王威华
大卫·D·伯恩哈德
托马斯·H·鲍姆
梅利莎·K·拉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN104199261A publication Critical patent/CN104199261A/zh
Application granted granted Critical
Publication of CN104199261B publication Critical patent/CN104199261B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

公开了一种适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物。该水基组合物包含至少一种离液序列高的溶质、至少一种碱性碱和去离子水。采用该组合物在集成电路的制造中实现了硬化的光致抗蚀剂和/或BARC材料的高效去除,而不会不利地影响基片上的金属物质、例如铜,也不会破坏在微电子装置结构中使用的低k介电材料。

Description

适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物
本申请是申请日为2006年1月9日、申请号为200680007314.8的中国国家专利申请的分案申请。
技术领域
本发明涉及适用于在微电子装置制造中将硬化的光致抗蚀剂和/或底部抗反射涂层(BARCs)从这种层所在的基片上去除的水基组合物,以及使用这种组合物将硬化的光致抗蚀剂和/或BARC层从微电子装置上去除的方法。
背景技术
光刻技术包括涂覆、曝光和显影的步骤。用正性或负性光致抗蚀剂物质涂覆晶片,在随后的工艺中,随即用限定了欲保留或除去的图案的掩膜覆盖。在将掩膜适当定位后,以诸如紫外(UV)光或深紫外(DUV)光(λ≈250nm)的单色辐射光束定向穿过掩膜,从而使曝光的光致抗蚀剂材料或多或少地可溶于选定的漂洗溶液中。然后将可溶的光致抗蚀剂材料除去,或“显影”,从而留下与掩膜相同的图案。
目前,有四种用于光刻产业的辐射的显影波长-436nm、365nm、248nm和193nm-近期的努力集中在157nm的光刻工艺上。理论上讲,随着每个波长的减少,在半导体芯片上产生的特征也更小。然而,由于半导体基片的反射率与光刻波长成反比,因而干扰及不均匀曝光的光致抗蚀剂限制了微电子装置临界尺寸的一致性。
例如,在暴露于DUV辐射时,熟知的是,光致抗蚀剂的透射率与基片对DUV波长的高反射率结合,导致DUV辐射被反射回光致抗蚀剂中,从而在光致抗蚀剂层中产生驻波。驻波在光致抗蚀剂中引发进一步的光化学反应,引起光致抗蚀剂的不均匀曝光,包括不打算暴露于辐射的掩蔽部分的曝光,这导致线宽、间距及其它临界尺寸的变化。
为了解决透射率和反射率的问题,人们开发了无机和有机性质的底部抗反射涂层(BARCs),该底部抗反射涂层是在施加光致抗蚀剂之前施加到基片上的。例如,包括但不限于聚砜、聚脲、聚脲砜、聚丙烯酸酯和聚(乙烯基吡啶)的有机BARCs通常具有的厚度,是采用旋转涂覆技术沉积的。一般来说,有机BARCs是平整化的层,通孔填充均匀,而且是高度交联的。有机BARCs在吸收辐射的同时通过使BARC层与光致抗蚀剂层的反射指数相匹配而阻止光的反射,从而阻止辐射反射和驻波。
在后段工序(BEOL)的集成电路的双嵌入处理期间,采用气相等离子体蚀刻将已显影的光致抗蚀剂涂层的图案转移到底层的介电涂层上。在图案转移期间,活性等离子体气体与显影的光致抗蚀剂进行反应,导致在光致抗蚀剂的表面上形成硬化、交联的聚合材料,或“硬皮”。此外,活性等离子体气体与BARC的侧壁进行反应,特征蚀刻到了电介质中。在前段工序(FEOL)处理期间,采用离子注入将掺杂原子加入到曝光的晶片层中。离子注入-曝光的光致抗蚀剂也是高度交联的,类似于等离子体蚀刻的光致抗蚀剂。
硬化的光致抗蚀剂和/或BARC材料从微电子装置晶片中的清洁去除已被证实是不易和/或昂贵的。若不去除的话,所述层可能会对随后的硅化或触点形成产生干扰。通常,通过氧化性或还原性的等离子体灰化或湿清洁法去除所述的层。然而,等离子体灰化由于基片被暴露于氧化性或还原性等离子体蚀刻中,可能会通过特征形状及尺寸的改变或通过介电材料介电常数的增加导致对介电材料的破坏。当诸如有机硅酸盐玻璃(OSG)或掺碳氧化物玻璃的低-k值介电材料为底层介电材料时,后者的问题更为突出。因此,往往希望避免采用等离子体灰化来去除硬化的光致抗蚀剂和/或BARC层。
当在BEOL应用中使用清洁剂/蚀刻剂组合物处理具有铝或铜互联布线的表面时,重要的是组合物具有良好的金属相容性,例如对铜、铝、钴等的低蚀刻速率。水性去除溶液由于处理技术更为简单因而是优选的,然而,光致抗蚀剂“硬皮”通常极其不溶于水性清洁剂,尤其是不破坏介电材料的清洁剂。通常将充足量的共溶剂、润湿剂和/或表面活性剂添加到该水溶液中,从而提高溶液的清洗能力。
例如,共溶剂通过增加光致抗蚀剂材料在组合物中的溶解度和/或降低溶液表面张力(即,提高润湿性)可以提高去除硬化的光致抗蚀剂的能力,然而,包含共溶剂可能会增加诸如金属和低-k电介质的其它材料的不良腐蚀。为此目的,期望使用不含共溶剂的水溶液,优选能够完全和有效地从底层电介质中去除硬化的光致抗蚀剂和/或BARC层。
本发明涉及包括离液序列高的(chaotropic)溶质的去除用组合物。据理论讲,离液序列高的溶质使液态水的氢键结构解构或分解,从而增加水中其它物质(例如聚合物)的溶解度。Hofmeister在1888年首次注意到离液剂作为蛋白质溶解度的函数的作用(Hofrneister,F.,Arch.Exp.Pathol.Pharmakol,24,247-260(1888)),并开发了一“系列”的阴离子,所基于的是蛋白质在包含那些阴离子的溶液中的溶解度(Collins,K.D.,Washabaugh,M.W.,Quart.Rev.Biophysics,18(4),323-422(1985))。熟知的离液序列高的阴离子包括Clˉ、NO3 ˉ、Brˉ、Iˉ、ClO4 ˉ和SCNˉ。其它离液序列高的物质包括胍盐离子和非离子尿素,已证实它们可以增加烃在水溶液中的溶解度(Wetlaufer,D.B.,Malik,S.K.,Stoller,L.,Coffin,R.L.,J.Am.Chem.Soc,86,508-514(1964))。
最近,Xu等人报导了聚(4-乙烯基苯酚)凝胶在包含离液剂的溶液中的溶胀行为(Xu,L.,Yokoyama,E.,Watando,H.,Okuda-Fukui,R.,Kawauchi,S.,Satoh,M.,Langmuir,20,7064-7069(2004))。聚(4-乙烯基苯酚)是高度交联的聚合物,已经证实了其在四烷基氯化铵水溶液中的溶胀,该溶胀表明了聚合物在包含离液剂的溶液中的溶解度的增加。同样,硬化的光致抗蚀剂和BARC层也是高度交联的,因此,离液序列高的溶质理论上应该以类似的方式使交联的光致抗蚀剂和BARC层溶胀。
因此,若能提供水基的、不含共溶剂的组合物,其克服了在先技术中涉及到从微电子装置中去除硬化的光致抗蚀剂和/或BARC层的不足,这将是一个重大进展。
此外,若能提供包括离液序列高的溶质的水基组合物,从而增加硬化的光致抗蚀剂和/或BARC层在所述组合物中的溶解度,以实现将所述的层从它们所在的微电子装置表面上的去除,这将是本领域中的一个重大进展。
发明内容
本发明涉及在微电子装置制造中有用的水基组合物,用于将硬化的光致抗蚀剂和/或BARC层从它们所在的基片上去除,以及涉及使用这种组合物从微电子装置中去除硬化的光致抗蚀剂和/或BARC层的方法。
一方面,本发明涉及适用于将光致抗蚀剂和/或底部抗反射涂层(BARC)材料从这种材料所在的微电子装置基片上去除的水基去除用组合物,所述组合物包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐,其中该去除用组合物适用于将光致抗蚀剂和/或BARC材料从这种材料所在的微电子装置上去除。
另一方面,本发明涉及将光致抗蚀剂和/或BARC材料从所述材料所在的基片上去除的方法,所述方法包括使基片与水基去除用组合物接触足够的时间,从而至少部分地将所述材料从基片上去除,其中水基去除用组合物包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐。
另一方面,本发明涉及制造微电子装置的方法,所述方法包括使微电子装置与水基去除用组合物接触足够的时间,从而至少部分地将光致抗蚀剂和/或BARC材料从所述材料所在的微电子装置上去除,其中水基去除用组合物包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐。
本发明的另一方面涉及改进的微电子装置和结合有该装置的产品,其是采用了本发明的方法而制造,所述方法包括采用本文描述的方法和/或组合物至少部分地将光致抗蚀剂和/或BARC层从所述材料所在的微电子装置上去除,以及任选地将微电子装置结合到产品当中。
从随后的公开内容和所附的权利要求来看,本发明的其它方面、特点和实施方案将更充分地显而易见。
具体实施方式
本发明是基于一种水基组合物的发现,所述水基组合物在用于将硬化的光致抗蚀剂和BARC层从其所在的图案化微电子装置晶片上去除时是非常有效的。具体来说,本发明涉及将硬化的光致抗蚀剂和/或BARC层从等离子体蚀刻和/或离子注入的微电子装置晶片上去除。
为了方便参考,“微电子装置”相当于为微电子、集成电路、或计算机芯片应用领域中制造的半导体基片、平板显示装置和微电机系统(MEMS)。应该理解的是,术语“微电子装置”不意味着具有任何方式的限制意义,其包括最终将成为微电子装置或微电子组件的任何基片。优选的是,微电子装置为半导体基片。
本文采用的“硬化的光致抗蚀剂”包括但不限于,例如在集成电路的BEOL双嵌入处理期间已经被等离子体蚀刻的、和/或例如在前段工序(FEOL)处理以在微电子装置晶片的适当层中注入掺杂剂物质期间被离子注入的光致抗蚀剂。
本文所采用的“约”意味着相当于规定值的±5%。
本发明的组合物可体现在大量的具体配方中,在下文中将更充分地进行描述。
在所有这些组合物中,其中组合物的具体组分是按照包括零下限在内的重量百分比范围说明的,应该理解的是,在组合物的各个具体实施方案中,这些组分可能存在或不存在,在这些组分存在的情况下,基于在其中使用了这些组分的组合物的总重量而言,其存在的浓度可以低至0.01重量百分比。
一方面,本发明涉及适用于将硬化的光致抗蚀剂和/或BARC层从微电子装置基片上去除的水基去除用组合物。本发明的配方中包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐,基于组合物的总重量而言,它们的存在量范围如下:
在本发明的广泛实践当中,水基去除用组合物可以包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐,也可以由上述物质组成,或者可以基本上由上述物质组成。一般来说,可以适当地改变离液序列高的溶质、碱性盐和水性介质相互之间的具体比例和用量,从而达到水基组合物对硬化的光致抗蚀剂和/或BARC层物质和/或处理设备的所期望的去除效应,本领域的技术人员无需过多的努力就可以容易地确定出这些效应。
本文采用的“水性介质”可以为不会改变所述至少一种离液序列高的溶质和所述至少一种碱性盐的去除效力的任何水基介质。优选的是,该水性介质是水,最优选为去离子水。
离液序列高的溶质的作用是增加硬化的光致抗蚀剂和/或BARC组成物质在水基组合物中的溶解度。本文中定义的“离液序列高的溶质”指的是可溶于水或可溶于碱性水溶液的中性及阴离子性物质,其可以提高水基碱性组合物去除硬化的光致抗蚀剂和/或BARC层的能力。优选“离液序列高的阴离子”的原子或分子半径大于或等于例如,已知这些的常规阴离子为离液序列高的,包括但不限于氯离子、溴离子、碘离子、硝酸根、硫氰酸根和氯酸根。本文中预计可用作离液序列高的溶质的其它溶质包括但不限于:尿素;和胍盐,例如氯化胍。此外,基于与已知离液剂的结构相似性,我们预计某些溶质可以用作离液剂。这种溶质可以包括但不限于:阴离子性苯甲酸盐及苯甲酸盐衍生物,例如2-、3-或4-氨基苯甲酸,2-、3-或4-硝基苯甲酸,2-、3-或4-茴香酸,2-、3-或4-氟-、氯-、溴-或碘-苯甲酸,2-、3-或4-甲硫基-苯甲酸,及其它单或多取代的苯甲酸盐;2,4-二氨基-6-甲基-l,3,5-三嗪;苯胺或取代的苯胺,例如2-、3-或4-甲硫基-苯胺或者2-、3-或4-茴香胺;1,2-、1,3-或1,4-苯二胺,含氮杂环化合物如1,3,5-三嗪或取代的1,3,5-三嗪如蜜胺,乙酰胍胺,2,4-二氨基-6-苯基-1,3,5-三嗪,2-氯-4,6-二氨基-1,3,5-三嗪,2,4,6-三甲氧基-1,3,5-三嗪,2,4,6-三甲氧基-1,3,5-三嗪,2,4-二氨基-1,3,5-三嗪,2-氨基-1,3,5-三嗪,2-氨基-4-乙氧基-6-(甲氨基)-1,3,5-三嗪,2-甲氧基-4-甲基-6-(甲氨基)-1,3,5-三嗪;1,2,4-三唑或取代的1,2,4-三唑;咪唑或取代的咪唑如2-巯基咪唑和2-巯基苯并咪唑。
优选的是,与离液序列高的阴离子相关联的阳离子是不含金属离子的,例如(NR1R2R3R4)+,这里R1、R2、R3和R4相互间可以是相同或不同的,各自独立地选自氢和C1-C6烷基。优选的是,与离液序列高的阴离子相关联的阳离子为四甲基铵、四丁基铵和苄基三甲基铵离子。
碱性盐的作用是侵蚀硬化的光致抗蚀剂和/或BARC层。虽然并不想束缚于理论当中,但可以假定的是,离液序列高的溶质使聚合层溶胀,使得碱性盐侵蚀硬化的光致抗蚀剂和/或BARC层中的每个界面。如此,基片与硬化的光致抗蚀剂和/或BARC层之间的界面受到损害,硬化的光致抗蚀剂和/或BARC层从基片中脱层。本文中构思的碱性盐包括不含金属离子的氢氧化物,例如(NR1R2R3R4)OH,这里R1、R2、R3和R4相互间可以是相同或不同的,各自独立地选自氢和C1-C6烷基。优选的是,碱性盐为四甲基氢氧化铵,水基去除用组合物的pH为至少约13。
一般来说,可以适当地改变离液序列高的溶质、碱性盐和去离子水相互之间的具体比例和用量,从而达到所期望的水基组合物对欲从基片中清除的具体的光致抗蚀剂和/或BARC层的增溶作用。本领域的技术人员无需过多的努力通过简单的实验就可以容易地确定出这些具体的比例和用量。
通过采用在升高的温度条件下使欲去除的光致抗蚀剂和/或BARC层与水基去除用组合物接触,可以提高本发明的水基去除用组合物的去除效率。
本发明的水基去除用组合物可以任选地配以附加组分,以进一步提高组合物的去除能力,或者另外改善组合物的特性。因此,可以将组合物配以表面活性剂、稳定剂、螯合剂、阻蚀剂、络合剂,等等。虽然本发明的水基去除用组合物通常不含有有机共溶剂,但也可以包含有机共溶剂,条件是它们不腐蚀其它材料,例如金属和低k电介质。本文中构思的共溶剂包括烷醇(例如,直链或支链C1-C6醇)、丁基卡必醇、甲基卡必醇、环丁砜-w、环丁砜A和丙二醇。
优选的水基去除用组合物包括本文中下面列举的(A)-(J)配方:
配方A
2.5%重量    四甲基氢氧化铵
20.0%重量   尿素
77.5%重量   去离子水
配方B
配方C
配方D
2.0%重量    四甲基氢氧化铵
2.4%重量    四甲基硝酸铵
95.6%重量   去离子水
配方E
配方F
约1.0%重量至约5.0%重量   四甲基氢氧化铵
约1.0%重量至约20.0%重量  2-、3-或4-硝基苯甲酸的四甲基铵盐
余者                      去离子水
配方G
约1.0%重量至约5.0%重量   四甲基氢氧化铵
约1.0%重量至约20.0%重量  邻、间或对苯二胺
余者                      去离子水
配方H
配方I
配方J
在本发明的另一实施方案中,水基去除用组合物包括在水性介质中的至少一种离液序列高的溶质、至少一种碱性盐和光致抗蚀剂。优选的是,光致抗蚀剂是硬化的,并溶解在水基去除用组合物中。还在本发明进一步的实施方案中,水基去除用组合物包括在水性介质中的至少一种离液序列高的溶质、至少一种碱性盐和BARC材料。优选的是,硬化的光致抗蚀剂溶解在水基去除用组合物中。
通过简单地添加各种成分并混合至均一状态可以容易地配制本发明的水基组合物。此外,可以容易地将去除用组合物配制成单份装配方或在使用时才进行混合的多份配方。多份配方中的各单独份可以在器具或器具上游的储罐中进行混合。在本发明的广泛实践中,各成分的浓度可以有很大的变化,变化为去除用组合物中的特定的倍数,即更稀或更浓,而且应当理解的是,本发明的去除用组合物可以不同地和可替换性地包含与本文所公开内容一致的成分的任意组合,或由前述的任意组合构成,或者基本上由前述的任意组合构成。
因此,本发明的一个实施方案涉及本文描述的没有水的组合物浓缩配方,其中水可以在使用前添加,从而形成本发明的去除用组合物。
因此,本发明的另一方面涉及一种试剂盒,其在一个或多个容器中包含适合于形成本发明的水基去除用组合物的两种或多种组分。优选的是,该试剂盒在一个或多个容器中包含至少一种离液序列高的溶质、至少一种碱性盐和水。根据另一实施方案,该试剂盒包含至少一种离液序列高的溶质、至少一种碱性盐和水,用于在加工时与水组合。
另一方面,本发明涉及采用本文描述的包括配方(A)-(J)的水基去除用组合物从微电子装置晶片表面上去除硬化的光致抗蚀剂和/或BARC层的方法。
在硬化的光致抗蚀剂和/或BARC去除操作中,以任何适当的方式将水基组合物施加到欲清洗的材料上,例如,将水基组合物喷洒到欲清洗的材料的表面上,将包括欲清洗材料的材料或物品浸渍(到一定体积的水基组合物中),使欲清洗的材料或物品与例如垫或纤维吸附剂涂敷器元件的、以水基组合物饱和的另一材料接触,或者采用将水基组合物与欲清洗的材料进行旨在去除的接触的任何其它的合适方法、方式或技术。
可以利用其它的清洗方法清洗诸如通常用于微电子装置电路制造的直径200或300mm的全晶片,例如单晶片或批量浸洗,或者单晶片或批量喷洒施加。
当应用于微电子装置制造操作时,有效地使用本发明的水基组合物以将硬化的光致抗蚀剂和/或BARC材料从沉积了这种材料的基片和微电子装置上去除。
相对于可能存在于微电子装置基片上的其它材料(例如ILD结构、镀敷金属、阻挡层,等等)而言,本发明的组合物对上述硬化的光致抗蚀剂和/或BARC材料具有选择性,由于这个原因,从而以高效率的方式实现对硬化的光致抗蚀剂和/或BARC材料的去除。
在使用本发明的组合物将光致抗蚀剂和/或BARC材料从其所在的微电子装置基片上去除时,通常在约40℃至约80℃温度下使水基组合物与基片接触约1分钟至约60分钟。这种接触时间和温度是示例性的,在本发明的广泛实践中,使用本发明的水基组合物,可以采用能有效地将硬化的光致抗蚀剂和/或BARC材料从基片上完全去除的任何其它的合适时间和温度条件。
达到期望的去除作用后,可以容易地将水基组合物从其先前施加的基片或物品上去除,例如通过漂洗、洗涤或可能在本发明的组合物的给定最终应用中是期望的和有效的其它去除步骤。优选的是,在随后的处理之前将基片或物品用大量的去离子水漂洗,用氮气吹干。
另一方面,本发明涉及制造微电子装置的方法,所述方法包括使微电子装置与水基去除用组合物接触足够的时间,从而至少部分地将光致抗蚀剂和/或BARC材料从所述材料所在的微电子装置上去除,其中水基去除用组合物包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐。优选的是,光致抗蚀剂是硬化的。
本发明的再一方面涉及改进的微电子装置和结合有该装置的产品,其采用了本发明的方法而制造,所述方法包括采用本文描述的方法和/或组合物至少部分地将光致抗蚀剂和/或BARC层从所述材料所在的微电子装置上去除,以及任选地将微电子装置结合到产品当中。优选的是,光致抗蚀剂是硬化的。
下面讨论的说明性实施例更充分地显示了本发明的特征及优点。
实施例1
清洗是在图案化半导体基片的样品上进行的,所述基片由硬化的光致抗蚀剂、BARC、低k电介质(具体为掺杂碳的氧化物)和氮化硅的层组成。先前已进行了等离子体蚀刻,将从约100纳米至大于10微米的不同尺寸的线、间隔(space)及孔的图案进行转移,从在光致抗蚀剂的表层涂层上形成的图案转移到其下边的材料上。图案由蚀刻入基片内的间隔构成,止于氮化硅蚀刻终止层。硬化的光致抗蚀剂和BARC的存在形式为10至50纳米的涂层。
基片的一部分通过在固定的温度下在上文描述的配方A清洗溶液的静态浴中浸没固定的时间而得到清洗。在浸没了所设定的时间以后,将样品移开,用大量的去离子水漂洗,用氮吹干。在55℃下30分钟的清洗时间足以去除100%的硬化光致抗蚀剂和BARC。通过上下式(top-down)光学显微镜观察到了该清洗处理,扫描电子显微镜(SEM)也证实了这点。
实施例2
采用与在实施例1中描述的相同的方法,在如实施例1中描述的图案化半导体基片的样品上进行使用配方B的清洗。通过上下式光学显微镜观察到,在55℃下大于20分钟但小于30分钟的浸没时间足以从基片上清洗掉100%的硬化光致抗蚀剂和BARC材料,扫描电子显微镜(SEM)也证实了这点。
实施例3
采用与在实施例1中描述的相同的方法,在如实施例1中描述的图案化半导体基片的样品上进行使用配方C的清洗。通过上下式光学显微镜观察到,在55℃下大于20分钟但小于30分钟的浸没时间足以从基片上清洗掉接近100%的硬化光致抗蚀剂和BARC材料,扫描电子显微镜(SEM)也证实了这点。
实施例4
采用与在实施例1中描述的相同的方法,在如实施例1中描述的图案化半导体基片的样品上进行使用配方D的清洗。通过上下式光学显微镜观察到,在55℃下大于20分钟但小于30分钟的浸没时间足以从基片上清洗掉约90%的光致抗蚀剂和BARC材料,扫描电子显微镜(SEM)也证实了这点。
实施例5
采用与在实施例1中描述的相同的方法,在如实施例1中描述的图案化半导体基片的样品上进行使用配方E的清洗。通过上下式光学显微观察到,在55℃下约20分钟的浸没时间足以从基片上清洗掉100%的光致抗蚀剂和BARC材料,扫描电子显微镜(SEM)也证实了这点。
因此,虽然本文中参照了本发明的具体方面、特征和例示的实施方案对本发明进行了描述,但应理解的是,本发明的应用并不仅限于此,而是可以延伸到并包括了许多其它方面、特征和实施方案。因此,此后提出的权利要求相应地具有广泛意义上的解释,包括了在其实质和范围之内的所有这些方面、特征和实施方案。

Claims (19)

1.一种水基去除用组合物,该组合物适用于将光致抗蚀剂和/或底部抗反射涂层(BARC)材料从其所在的微电子装置基片上去除,所述组合物包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐,其中该去除用组合物适用于将光致抗蚀剂和/或BARC材料从其所在的微电子装置上去除。
2.权利要求1的组合物,基于组合物的总重量而言,包含如下的组分:
60.0%重量-98.0%重量  水性介质
1.0%重量-30.0%重量   离液序列高的溶质;和
1.0%重量-10.0%重量   碱性盐,
其中组合物的这些组分的重量百分比总计不超过100%重量。
3.权利要求1的组合物,其中该至少一种离液序列高的溶质包括选自如下的离液序列高的物质:尿素;氯化胍;2-、3-和4-氨基苯甲酸;2-、3-和4-硝基苯甲酸;2-、3-和4-茴香酸;2-、3-和4-氟-、氯-、溴-和碘-苯甲酸;2-、3-和4-甲硫基-苯甲酸;2,4-二氨基-6-甲基-1,3,5-三嗪;苯胺;2-、3-和4-甲硫基-苯胺;2-、3-和4-茴香胺;1,2-、1,3-和1,4-苯二胺;1,3,5-三嗪;蜜胺;乙酰胍胺;2,4-二氨基-6-苯基-l,3,5-三嗪;2-氯-4,6-二氨基-l,3,5-三嗪;2,4,6-三甲氧基-l,3,5-三嗪;2,4,6-三甲氧基-1,3,5-三嗪;2,4-二氨基-l,3,5-三嗪;2-氨基-l,3,5-三嗪;2-氨基-4-乙氧基-6-(甲氨基)-l,3,5-三嗪;2-甲氧基-4-甲基-6-(甲氨基)-l,3,5-三嗪;1,2,4-三唑;咪唑;2-巯基咪唑;2-巯基苯并咪唑;及其组合。
4.权利要求1的组合物,其中至少一种碱盐包含不含金属离子的氢氧化物。
5.权利要求1的组合物,其中该至少一种共溶剂选自直链C1-C6醇、支链C1-C6醇、二乙二醇丁基醚、二乙二醇甲基醚、环丁砜-w、环丁砜-A和丙二醇。
6.权利要求1的组合物,其中该至少一种离液序列高的溶质包括尿素。
7.权利要求1的组合物,其中该至少一种碱性盐包括(NR1R2R3R4)OH,其中R1、R2、R3和R4相互间可以是相同或不同的,各自独立地选自氢和C1-C6烷基。
8.权利要求1的组合物,其中所述组合物具有的pH值大于13。
9.权利要求1的组合物,其选自配方A-F,其中所有的百分比都是基于配方的总重量而按重量计的:
配方A
2.5%重量    四甲基氢氧化铵;
20.0%重量   尿素;
77.5%重量   去离子水;
配方B
配方C
配方D
配方E
配方F
10.一种将光致抗蚀剂和/或BARC材料从所述材料所在的基片上去除的方法,所述方法包括使基片与水基去除用组合物接触足够的时间,从而至少部分地将所述材料从基片上去除,其中水基去除用组合物包含在水性介质中的至少一种离液序列高的溶质和至少一种碱性盐。
11.权利要求10的方法,其中基于组合物的总重量而言,水基去除用组合物包含如下的组分:
60.0%重量-98.0%重量  水性介质
1.0%重量-30.0%重量   离液序列高的溶质;和
1.0%重量-10.0%重量   碱性盐,
其中组合物的这些组分的重量百分比总计不超过100%重量。
12.权利要求10的方法,其中所述基片包含微电子装置结构。
13.权利要求10的方法,其中所述材料包括选自如下的层:通过等离子体蚀刻硬化的光致抗蚀剂;通过离子注入硬化的光致抗蚀剂;和BARC。
14.权利要求10的方法,其中所述接触进行的时间为1分钟至60分钟。
15.权利要求10的方法,其中所述接触是在40℃至80℃的温度范围内进行的。
16.权利要求10的方法,其中该至少一种离液序列高的溶质包括选自如下的离液序列高的物质:尿素;氯化胍;2-、3-和4-氨基苯甲酸;2-、3-和4-硝基苯甲酸;2-、3-和4-茴香酸;2-、3-和4-氟-、氯-、溴-和碘-苯甲酸;2-、3-和4-甲硫基-苯甲酸;2,4-二氨基-6-甲基-1,3,5-三嗪;苯胺;2-、3-和4-甲硫基-苯胺;2-、3-和4-茴香胺;1,2-、1,3-和1,4-苯二胺;1,3,5-三嗪;蜜胺;乙酰胍胺;2,4-二氨基-6-苯基-l,3,5-三嗪;2-氯-4,6-二氨基-l,3,5-三嗪;2,4,6-三甲氧基-l,3,5-三嗪;2,4,6-三甲氧基-1,3,5-三嗪;2,4-二氨基-l,3,5-三嗪;2-氨基-l,3,5-三嗪;2-氨基-4-乙氧基-6-(甲氨基)-l,3,5-三嗪;2-甲氧基-4-甲基-6-(甲氨基)-l,3,5-三嗪;1,2,4-三唑;咪唑;2-巯基咪唑;2-巯基苯并咪唑;及其组合。
17.权利要求10的方法,其中该至少一种碱性盐包括(NR1R2R3R4)OH,其中R1、R2、R3和R4相互间可以是相同或不同的,各自独立地选自氢和C1-C6烷基。
18.权利要求10的方法,其中该水基去除用组合物选自配方A-F,其中所有的百分比都是基于配方的总重量而按重量计的:
配方A
2.5%重量    四甲基氢氧化铵;
20.0%重量   尿素;
77.5%重量   去离子水;
配方B
配方C
配方D
配方E
配方F
19.权利要求10的方法,还包括在与水基去除用组合物接触之后用去离子水漂洗基片。
CN201410384014.8A 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物 Active CN104199261B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/031,118 US20060154186A1 (en) 2005-01-07 2005-01-07 Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US11/031,118 2005-01-07
CN200680007314.8A CN101137939B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200680007314.8A Division CN101137939B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物

Publications (2)

Publication Number Publication Date
CN104199261A true CN104199261A (zh) 2014-12-10
CN104199261B CN104199261B (zh) 2019-07-09

Family

ID=36647826

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200680007314.8A Active CN101137939B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物
CN201410384014.8A Active CN104199261B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200680007314.8A Active CN101137939B (zh) 2005-01-07 2006-01-09 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物

Country Status (9)

Country Link
US (2) US20060154186A1 (zh)
EP (2) EP1844367A4 (zh)
JP (1) JP2008527447A (zh)
KR (1) KR101365784B1 (zh)
CN (2) CN101137939B (zh)
IL (1) IL184483A0 (zh)
SG (1) SG164385A1 (zh)
TW (1) TWI426361B (zh)
WO (1) WO2006074316A1 (zh)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
SG10201504423QA (en) * 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
KR20080059442A (ko) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
JP2009515055A (ja) * 2005-11-09 2009-04-09 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 低k誘電体材料をその上に有する半導体ウェハをリサイクルするための組成物および方法
US20100163788A1 (en) * 2006-12-21 2010-07-01 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
JP4427562B2 (ja) * 2007-06-11 2010-03-10 株式会社東芝 パターン形成方法
WO2009032460A1 (en) * 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
CN105543023A (zh) * 2007-08-22 2016-05-04 大金工业株式会社 残渣除去液、残渣除去方法和半导体设备的制造方法
KR20110018775A (ko) * 2009-08-18 2011-02-24 삼성전자주식회사 컬러 필터 박리용 조성물 및 이를 이용한 컬러 필터 재생 방법
JP5321389B2 (ja) * 2009-09-28 2013-10-23 東ソー株式会社 レジスト剥離剤及びそれを用いた剥離方法
US8252673B2 (en) 2009-12-21 2012-08-28 International Business Machines Corporation Spin-on formulation and method for stripping an ion implanted photoresist
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
JP6068341B2 (ja) 2010-08-20 2017-01-25 インテグリス・インコーポレーテッド 電気電子機器廃棄物から貴金属および卑金属金属を回収するための持続可能な方法
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
EP2798669B1 (en) 2011-12-28 2021-03-31 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102105381B1 (ko) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
SG11201407650VA (en) 2012-05-18 2014-12-30 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (zh) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI546850B (zh) * 2014-11-14 2016-08-21 群創光電股份有限公司 顯示面板之製備方法
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
CN109195720B (zh) * 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
JP6951229B2 (ja) * 2017-01-05 2021-10-20 株式会社Screenホールディングス 基板洗浄装置および基板洗浄方法
CN116646279A (zh) 2017-01-05 2023-08-25 株式会社斯库林集团 基板清洗装置及基板清洗方法
JP2022530147A (ja) 2019-04-24 2022-06-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 半導体基板からフォトレジストを除去するための剥離組成物
WO2023114638A1 (en) * 2021-12-15 2023-06-22 Versum Materials Us, Llc Compositions for removing photoresist and etch residue from a substrate with copper corrosion inhibitor and uses thereof

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
DE3821231A1 (de) * 1987-06-25 1989-01-05 Siemens Ag Entschichterloesung fuer gehaertete positivlacke
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5468423A (en) * 1992-02-07 1995-11-21 The Clorox Company Reduced residue hard surface cleaner
US5390356A (en) * 1992-05-05 1995-02-14 The United States Of America As Represented By The Secretary Of The Navy Rapid reprogramming terminal
JP3315749B2 (ja) * 1993-02-24 2002-08-19 日立化成工業株式会社 水溶性レジストの剥離方法及び剥離液
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5419779A (en) * 1993-12-02 1995-05-30 Ashland Inc. Stripping with aqueous composition containing hydroxylamine and an alkanolamine
JPH07247498A (ja) * 1994-03-09 1995-09-26 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤及び配線パターンの形成方法
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
JP2950407B2 (ja) * 1996-01-29 1999-09-20 東京応化工業株式会社 電子部品製造用基材の製造方法
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
JP3953600B2 (ja) * 1997-10-28 2007-08-08 シャープ株式会社 レジスト膜剥離剤及びそれを用いた薄膜回路素子の製造方法
US7579308B2 (en) * 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP2001183850A (ja) * 1999-12-27 2001-07-06 Sumitomo Chem Co Ltd 剥離剤組成物
US6120978A (en) * 2000-01-06 2000-09-19 Air Products And Chemicals, Inc. Use of N,N-dialkyl ureas in photoresist developers
JP3339575B2 (ja) * 2000-01-25 2002-10-28 日本電気株式会社 剥離剤組成物および剥離方法
US6531436B1 (en) * 2000-02-25 2003-03-11 Shipley Company, L.L.C. Polymer removal
JP4821082B2 (ja) * 2000-03-21 2011-11-24 和光純薬工業株式会社 半導体基板洗浄剤及び洗浄方法
DE60108286T2 (de) * 2000-03-27 2005-12-29 Shipley Co., L.L.C., Marlborough Entfernungsmittel für Polymer
JP2002072505A (ja) * 2000-08-29 2002-03-12 Nagase Kasei Kogyo Kk フォトレジスト剥離剤組成物およびその使用方法
US6375822B1 (en) * 2000-10-03 2002-04-23 Lev Taytsas Method for enhancing the solderability of a surface
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP2003005383A (ja) * 2000-11-30 2003-01-08 Tosoh Corp レジスト剥離剤
KR100822236B1 (ko) * 2000-11-30 2008-04-16 토소가부시키가이샤 레지스트 박리제
US6927266B2 (en) * 2001-02-22 2005-08-09 Nissan Chemical Industries, Ltd. Bottom anti-reflective coat forming composition for lithography
TWI275903B (en) * 2001-03-13 2007-03-11 Nagase Chemtex Corp A composition for stripping photo resist
JP2003213463A (ja) * 2002-01-17 2003-07-30 Sumitomo Chem Co Ltd 金属腐食防止剤および洗浄液
JP3854523B2 (ja) * 2002-03-29 2006-12-06 メルテックス株式会社 レジスト剥離剤
KR100974034B1 (ko) * 2002-11-08 2010-08-04 와코 쥰야꾸 고교 가부시키가이샤 세정액 및 이것을 이용한 세정방법
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
CN1954267B (zh) * 2004-02-11 2010-12-08 马林克罗特贝克公司 含有卤素含氧酸、其盐及其衍生物的微电子清洗组合物
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR20080059442A (ko) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물

Also Published As

Publication number Publication date
EP1844367A4 (en) 2011-08-31
EP2482134A3 (en) 2012-11-07
WO2006074316A1 (en) 2006-07-13
KR101365784B1 (ko) 2014-02-20
TW200629012A (en) 2006-08-16
CN101137939A (zh) 2008-03-05
CN101137939B (zh) 2014-09-03
TWI426361B (zh) 2014-02-11
SG164385A1 (en) 2010-09-29
EP1844367A1 (en) 2007-10-17
IL184483A0 (en) 2007-10-31
CN104199261B (zh) 2019-07-09
US20090215659A1 (en) 2009-08-27
US7994108B2 (en) 2011-08-09
JP2008527447A (ja) 2008-07-24
US20060154186A1 (en) 2006-07-13
KR20070099012A (ko) 2007-10-08
EP2482134A2 (en) 2012-08-01

Similar Documents

Publication Publication Date Title
CN101137939B (zh) 适用于去除蚀刻后的光致抗蚀剂和底部抗反射涂层的组合物
JP7018989B2 (ja) 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
CN1847382B (zh) 用于清洗半导体器件的组合物及利用该组合物清洗半导体器件的方法
KR100844051B1 (ko) 양이온성 염을 포함하는 잔류물 제거용 조성물 및 이를사용하는 방법
CN102981377B (zh) 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN1938412A (zh) 剥离抗蚀剂、barc和填隙材料的化学制剂及方法
CN101421386B (zh) 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
TW594444B (en) Residue cleaning solution
US6825156B2 (en) Semiconductor process residue removal composition and process
US20090203566A1 (en) Semi Conductor Process Residue Removal Composition and Process
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
TWI611274B (zh) 用於抗圖案崩壞處理之包含雙子型添加劑之組成物
CN104471487B (zh) 用于制造集成电路装置、光学装置、微机械及机械精密装置的组合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150416

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150416

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

COR Change of bibliographic data
GR01 Patent grant
GR01 Patent grant