CN103887290A - 具有接合中介层的集成电路器件 - Google Patents

具有接合中介层的集成电路器件 Download PDF

Info

Publication number
CN103887290A
CN103887290A CN201310757185.6A CN201310757185A CN103887290A CN 103887290 A CN103887290 A CN 103887290A CN 201310757185 A CN201310757185 A CN 201310757185A CN 103887290 A CN103887290 A CN 103887290A
Authority
CN
China
Prior art keywords
component
intermediary layer
integrated circuit
chip
intermediary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310757185.6A
Other languages
English (en)
Inventor
A·约翰曼
W-B·莱翁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altera Corp filed Critical Altera Corp
Publication of CN103887290A publication Critical patent/CN103887290A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本申请提供了系统、方法和器件以使得集成电路器件具有相对较大的容量。这种集成电路器件可以包括彼此通信的至少两个分量集成电路。特别地,该分量集成电路可以通过“接合硅中介层”进行通信,其中该接合硅中介层大于用于制造该中介层的光刻系统的刻线极限。为了实现这个较大的尺寸,该接合硅中介层可以由至少两个分量中介层组成,其中每个分量中介层的尺寸均在刻线极限之内且每个分量中介层均通过管芯密封结构而相互隔离。

Description

具有接合中介层的集成电路器件
技术领域
本发明涉及集成电路器件,并且更特别地涉及具有通过接合硅中介层进行通信的分量集成电路的集成电路器件。
背景技术
此部分旨在向读者介绍可能与下面描述且要求保护的这些技术的各个方面相关联的各个技术方面。相信该讨论有助于为读者提供背景信息以便于更好地理解本发明的各个方面。因此,应当理解这些描述应当就此而论进行理解,并且不作为现有技术的认定。
集成电路器件存在于各种电子系统中。仅举几例,计算机、手持设备、便携式电话、电视机、工业控制系统以及机器人均依赖于集成电路。因为简单地制造越来越大的集成电路可能会使得成品率呈指数降低,所以很多较小的集成电路可以一起操作以实现某些数据处理操作。例如,现场可编程门阵列(FPGA)芯片可以与存储器芯片进行通信以执行某种数据处理。在另一实施例中,一些FPGA芯片可以一起操作以执行仅采用一个芯片不能实现的操作。
已经开发出很多相互通信方案以提高两个集成电路之间的通信的带宽和效率。在一个实施例中,可以通过引线键合使得集成电路相互堆叠并通信。然而,引线键合提供了具有相对较低带宽和效率的有限数量的芯片至芯片互连。允许在两个集成电路之间进行相互通信的另一种芯片集成方案包括硅中介层(interposer)。硅中介层提供被图案化成在不同深度具有芯片至芯片互连的硅基底。两个或更多个分量(component)集成电路被键合至该硅中介层。然后该分量集成电路能够通过芯片至芯片互连以比引线键合更高的带宽和效率进行通信。
硅中介层可以通过利用光刻系统将硅晶片图案化来制造。光刻系统通常具有使得硅晶片上的每个管芯均能够被制造出的最大尺寸。该最大图案化尺寸被称为该光刻系统的“刻线极限(reticle limit)”。被图案化到晶片上的任何单独互连图案或掩模数据库都不能超过该刻线极限。因此,中介层的尺寸通常保持在用于制造该中介层的光刻系统的刻线极限内。中介层的此尺寸限制了能够安装在该中介层上的分量集成电路的数量和尺寸。然而,期望获得比在该刻线极限内的可能容量更高的集成电路器件。
发明内容
下面阐述本文公开的某些实施例的概要。应当理解的是,所展示的这些方面仅是为了向读者提供这些特定实施例的简要概述,并且这些方面不意欲限定本发明的范围。实际上,本发明可以包含下面可能未阐述的多个方面。
本发明的实施例涉及包含集成电路的系统、器件和方法,其中该集成电路利用比制造中介层所用的光刻系统的刻线极限更大的硅中介层进行通信。为了克服该刻线极限,该中介层可以是“接合(stitched)硅中介层”。在本发明中,接合硅中介层是由多个分量中介层组成的中介层。这些分量中介层的每一个均落入该刻线极限内,尽管该接合硅中介层的总尺寸可能会大得多。每个分量中介层均可以被单独图案化为通过管芯密封结构而相互隔离。因此,例如,根据本发明的集成电路器件可以包括通过分量中介层的芯片至芯片互连进行通信的两个或更多分量集成电路。所述分量集成电路和所述分量中介层可以被设计成彼此一起工作,尽管分量中介层的芯片至芯片互连不能横跨在两个分量中介层之间。
以上提出的各种改进特征可以存在于本发明的各个方面。也可以将进一步的特征同样合并到这些各个方面中。这些改进的和额外的特征可以单独地或以任何组合的方式存在。例如,下面所述的涉及一个或更多图解实施例的各种特征可以单独或以任何组合的方式合并到本发明的任何上述方面中。以上提出的简单概述仅旨在使得读者熟悉本发明实施例的特定方面和背景而不是限制所要求保护的主题。
附图说明
经过阅读下面的详细描述并参考附图可以更好地理解本发明的各个方面,在附图中:
图1是根据一个实施例的系统的简图,该系统包括利用大于制造中介层所用的光刻系统的刻线极限的该中介层的大容量集成电路器件;
图2是根据一个实施例的该大容量集成电路的简图的侧视图;
图3是根据一个实施例的大于刻线极限的中介层的简图的顶视图,该中介层包括每个均在刻线极限内的两个分量中介层;
图4是根据一个实施例的大容量集成电路器件的简图的顶视图,其图示说明分量集成电路利用定位至每个分量中介层的芯片至芯片互连进行通信的一种方式;
图5是根据一个实施例的在其上中介层被图案化的硅晶片的示意图;
图6是根据一个实施例的制造大容量集成电路的方法的流程图;
图7是根据一个实施例的具有三个分量中介层的中介层的简图的顶视图;以及
图8是根据一个实施例的利用图7的中介层的大容量集成电路器件的顶视图。
具体实施方式
下面将描述本发明的一个或更多特定实施例。这些所描述的实施例仅是所公开技术的示例。此外,为了提供这些实施例的简明描述,在说明书中可能不会描述实际实施方式的所有特征。应当意识到在任何此类实际实施方式的开发中,如同在任何工程或设计项目中,必须作出许多实施方式专有的决策以实现开发者的特定目标,例如遵照系统相关或商业相关的限制,其可能从一种实施方式变化到另一实施方式。此外,应当意识到这种开发工作可能是复杂且耗时的,但是对于那些受益于本发明的普通技术人员来说,可能仍然是设计、加工以及制造的常规任务。
当介绍本发明的各个实施例的元件时,冠词“一”、“一个”和“该/所述”旨在意味着存在一个或更多元件。术语“包含”、“包括”和“具有”旨在表示内含性并且意味着除了所列出的元件外还存在其他元件。此外,应当理解引述本发明的“一个实施例”或“实施例”并不旨在解释为排除也包含所引述特征的附加实施例的存在。
本发明涉及具有分量(component)集成电路的集成电路器件,其中所述分量集成电路利用硅中介层进行相互通信,该中介层大于用于图案化该中介层的光刻系统的刻线极限。分量集成电路可以通过中介层内的芯片至芯片互连进行通信。中介层可以具有能够以比引线键合更高的带宽和更高的效率进行操作的多个芯片至芯片互连。尽管随着管芯尺寸的增大位于中介层上的分量集成电路可用的成品率可能会大幅度降低,但是可以比分量集成电路简单得多的硅中介层的成品率可能大得多。因此,能够利用光刻系统进行图案化的给定中介层的尺寸可以不被成品率而是被刻线极限所限制。如上所述,光刻系统通常具有使得硅晶片上的每一管芯均能够被制造的最大尺寸。此最大图案化尺寸称为光刻系统的“刻线极限”。
本发明的集成电路器件使用大于刻线极限的中介层。此更大中介层是通过“接合(stitching)”两个或更多分量中介层而形成的,其中该两个或更多分量中介层分别落入在刻线极限内。这两个分量中介层可能不共享任何芯片至芯片互连。因此,设置在接合中介层的分量中介层上的分量集成电路可以与接合中介层的两个分量中介层的中介层掩模数据库协同设计。由于与常规硅中介层相比该接合硅中介层具有更大尺寸,因此该集成电路可以比其他形式具有更大的容量。
这种大容量集成电路器件10可以在许多电子设备中使用,包括图1所示的电子设备12。大容量集成电路器件10可以采用接合硅中介层,该接合硅中介层大于用于图案化该中介层的光刻系统的刻线极限。电子设备12仅代表可以采用大容量集成电路器件10的系统的一个示例。
在图1的示例中,电子设备12包括处理器14、内存/存储器16、存储设备18、显示器20以及耦合到输入/输出(I/O)端口24的输入装置22。大容量集成电路器件10意欲代表能够由电子装置12采用的任何合适的集成电路器件10。例如,大容量集成电路器件10可以代表可编程逻辑器件(PLD)、专用集成电路(ASIC)、外围装置的组件、工业控制逻辑电路、协同处理器或者任何其他合适的集成电路器件。事实上,在某些实施例中,电子设备12的其他组件例如处理器14、内存/存储器16、存储设备18和/或I/O端口24均可以形成大容量集成电路器件10。例如,在片上系统(SOC)中,这些组件中的某些或全部可以被设置在大于刻线极限的接合硅中介层上。应当意识到电子设备12可以代表任意数目的电子设备,例如计算机、手持电子设备、便携式电话、媒体播放器、电视机、工业控制设备、数码相机或者家用电器,仅举几例。
通过将若干分量集成电路组合到比刻线极限大的中介层上,大容量集成电路器件10可以具有比其他器件更大的容量。例如,图2提供了大容量集成电路器件10的简图的侧视图。在图2的示例中,第一分量集成电路(IC1)40可以通过连结到接合硅中介层46的数百、数千、数万或更多的微凸块44与第二分量集成电路(IC2)42进行通信。微凸块44将分量集成电路40和42连接到接合硅中介层46内的芯片至芯片互连54、56(也可在如下所述的图3和图4中看到)。这些芯片至芯片互连54、56提供穿过接合硅中介层46的各个深度的通信信道。
分量集成电路40和42可以经由微凸块44通过芯片至芯片键合而被附连到接合硅中介层46。在某些实施例中,微凸块44可以具有在大约30-50μm之间的节距。穿硅通孔(TSV)48可以将某些微凸块44和/或芯片至芯片互连连接到C4互连49。C4互连49可以具有在大约100-250μm之间的节距。
分量集成电路40和42可以是任何合适的分立集成电路管芯。在一个示例中,第一分量集成电路40是主管芯,并且第二分量集成电路42可以是支持该主管芯的操作的子管芯。第一分量集成电路40可以代表例如现场可编程门阵列(FPGA)、微处理器或数字信号处理器(DSP)。为了支持第一分量集成电路40,第二分量集成电路42可以代表存储器器件、模数转换器(ADC)、数模转换器(DAC)、光信号处理器、混合信号处理器或任何其他合适的集成电路。在其他示例中,第一和第二分量集成电路40和42可以代表两个主管芯或者两个子管芯。例如,大容量集成电路器件10可以包括多个FPGA芯片或者多个存储器器件。此外,尽管大容量集成电路器件10被显示为仅包括两个分量集成电路40和42,但是任何合适数目的分量集成电路均可以被键合到接合硅中介层46以形成大容量集成电路器件10。
接合硅中介层46的顶视图出现在图3中。如图3所示,接合硅中介层46包括第一分量中介层50和第二分量中介层52。第一分量中介层50包括多个芯片至芯片互连54,其被示意性显示为连结第一分量中介层50的两个不同区域。同样地,第二分量中介层52包括其各自的芯片至芯片互连56。尽管第一分量中介层50被显示为比第二分量52大,但是在其他实施例中分量中介层50和52可以具有相同的尺寸。此外,芯片至芯片互连54可以来源于规定芯片至芯片互连54的位置的中介层掩模数据库。在图3的示例中,用于生成第一分量中介层50的芯片至芯片互连54的中介层掩模数据库与用于生成第二分量中介层52的芯片至芯片互连56的中介层掩模数据库不同。然而,在其他实施例中,可以利用相同的中介层掩模数据库来生成芯片至芯片互连54和56二者。
还如图3所示,第一分量中介层50和第二分量中介层52由管芯密封结构58相互分隔。管芯密封结构58应当理解为完全包围第一分量中介层50和第二分量中介层52。管芯密封结构58可以理解为形成晶片允收测试(WAT)结构的组件。管芯密封结构58将在第一分量中介层50中图案化的个体结构与在第二分量中介层52中图案化的那些结构隔离。因此,芯片至芯片互连54和56完全不会从第一分量中介层50横跨至第二分量中介层52,反之亦然。
如在图4所示的大容量集成电路器件10的顶视图中所见,分量集成电路40和42可以被键合在接合硅中介层46的顶部上。设置在第一分量中介层50上方的分量集成电路40和42的一些部分通过芯片至芯片互连54进行通信。同样地,设置在第二分量中介层52上方的分量集成电路40和42的一些部分通过芯片至芯片互连56进行通信。由于管芯密封结构58阻止两个分量中介层50和52之间的芯片至芯片互连,因此分量集成电路40和42可以被设计为避免需要这种通信。例如,分量集成电路40和42可以被设计为包括内部通信信道以便在隔离两个分量中介层50和52的管芯密封结构58上方水平地传递数据。
如图5所示,接合硅中介层46可以形成在硅晶片60上。在图5的示例中,第一分量中介层50被显示为等于或小于用于在硅晶片60上图案化接合硅中介层46的光刻系统的刻线极限62。第二分量中介层52被显示为小于该刻线极限62。在一些实施例中,该光刻系统可以是20nm光刻系统,并且该光刻系统的刻线极限62可以为近似于26mm×32mm。因此,当使用该20nm光刻系统时,第一和第二分量中介层50和52的组合可以超过26mm×32mm。
在其他实施例中,接合硅中介层46可以由附加分量中介层而不是仅由分量中介层50和52形成。在任何情况下,分量中介层可以分别落入刻线极限62的尺寸内。构成接合硅中介层46的分量中介层(例如分量中介层50和52)的整体可以大于刻线极限62。在替换实施例中,接合硅中介层46可以小于刻线极限62,但是仍可以包括通过管芯密封结构58而相互彼此隔离的两个或更多分量中介层。
记住图5的实施例,图6的流程图70示出了通过晶片上芯片(CoW)制造工艺来制造大容量集成电路器件10的方式。流程图70开始于协同构建第一分量集成电路(IC1)40、第二分量集成电路(IC2)42、第一分量中介层50和第二分量中介层52(方框72)。亦即,尽管芯片至芯片互连54和56将会定位在各自的分量中介层50和/或52之内,但分量集成电路40和42可以与分量中介层50和52协同构建以允许进行通信。
利用任何合适的光刻系统(例如20nm光刻系统),可以横跨晶片60图案化第一和第二分量中介层50和52。在一些实施例中,所有第一分量中介层50可以首先利用第一中介层掩模数据库来图案化(方框74),之后利用第二中介层掩模数据库来图案化第二分量中介层52。在其他实施例中,可以横跨晶片60交替地图案化第一和第二分量中介层50和52。如上所述,分别用于图案化第一和第二分量中介层50和52的中介层掩模数据库可以相同或者可以不同。用于图案化第一和第二分量中介层50和52的中介层掩模数据库可以依赖于分量集成电路40和42的设计。特别地,用于图案化第一和第二分量中介层50和52的中介层掩模数据库描述芯片至芯片互连54和56以使得在分量集成电路40和42之间能够进行通信。
在横跨晶片60图案化接合中介层46后,分量集成电路40和42可以被键合至晶片(方框76)。此外,分量集成电路40和42可以使得它们的界面未被充满且进行后处理以露出穿硅通孔(TSV)(例如图2所示的TSV48)。也可以在接合硅中介层46的下侧面上形成C4凸块49。此后,可以沿着外围接合硅中介层46对晶片60进行切割(方框78)。通过这样做,能够获得具有大于刻线极限62的尺寸的大容量集成电路10。
大容量集成电路器件10的其他配置是可能的。例如,如图7所示,接合硅中介层80可以包括两个第一分量中介层82和单个第二分量中介层84。在图7的实施例中,第一分量中介层82被设置在第二分量中介层84的任一侧面上并且由管芯密封结构58隔离。芯片至芯片互连86可以至少存在于第二分量中介层84中。
事实上,如图8所示,第一分量集成电路(IC1)88和第二分量集成电路(IC2)90可以被设置在接合硅中介层80上。在此配置中,分量集成电路88和90可以仅利用第二分量中介层84的芯片至芯片互连86进行相互通信。因此,在一些实施例中,第一分量中介层82甚至可以没有芯片至芯片互连。尽管如此,接合硅中介层80的整体尺寸可以大于刻线极限。例如,第二分量中介层84可以具有大约等于刻线极限的尺寸。利用第一分量中介层82可以将接合硅中介层80的整体尺寸扩展得超过刻线极限。此外,当在第一分量中介层82中没有图案化芯片至芯片互连时,接合硅中介层80的成品率可以基本等于第二分量中介层84的成品率(即高于其他方式)。然而,应当理解在其他实施例中第一分量中介层82可以包括其自己的互连。
已经以示例方式提供了以上论述。当然,本发明的实施例可以接受为多种修改和替换形式。事实上,根据以上概述的技术能够制造很多其他合适形式的大容量集成电路。例如,其他大容量集成电路器件可以包括接合硅中介层中的多于两个分量中介层。一些分量中介层可以具有较少或者不具有芯片至芯片互连。事实上,可以开发出使用利用这些技术由两个或更多分量中介层形成的接合硅中介层的任意数量集成电路器件。最后,随附的权利要求并不旨在限制所公开的特定方式,而是覆盖落入本发明的精神和范围内的所有修改、等价物和替换方式。

Claims (25)

1.一种集成电路器件,其包括:
第一和第二分量集成电路,其被配置为相互通信;以及
邻近的中介层,其包括芯片至芯片互连以促成所述第一和第二分量集成电路之间的通信,其中所述中介层大于用于制造所述中介层的光刻系统的刻线极限。
2.根据权利要求1所述的集成电路器件,其中所述中介层包括第一分量中介层和第二分量中介层,其中所述第一分量中介层和所述第二分量中介层都不单独大于所述刻线极限。
3.根据权利要求1所述的集成电路器件,其中所述中介层的每个芯片至芯片互连均完全设置在第一分量中介层之内或完全设置在第二分量中介层之内,使得所述芯片至芯片互连均不横跨在所述第一分量中介层与所述第二分量中介层之间。
4.根据权利要求1所述的集成电路器件,其中所述中介层包括具有相同芯片至芯片互连设计的第一分量中介层和第二分量中介层。
5.根据权利要求1所述的集成电路器件,其中所述中介层包括第一分量中介层和第二分量中介层,其每一个均具有各自不同的芯片至芯片互连设计。
6.一种制造集成电路器件的方法,其包括:
利用光刻系统在晶片上图案化第一分量中介层,其中该第一分量中介层具有小于或等于所述光刻系统的所述刻线极限的尺寸;以及
利用所述光刻系统在所述晶片上邻近所述第一分量中介层图案化第二分量中介层,其中所述第二分量中介层具有小于或等于所述光刻系统的所述刻线极限的尺寸;
将多个分量集成电路键合至所述第一分量中介层、所述第二分量中介层或两者;以及
在第一分量中介层和第二分量中介层的总体结构周围切割所述晶片。
7.根据权利要求6所述的方法,其中利用第一掩模数据库图案化所述第一分量中介层并且利用第二掩模数据库图案化所述第二分量中介层,其中所述第一和第二掩模数据库不同。
8.根据权利要求6所述的方法,其中利用相同的掩模数据库图案化所述第一分量中介层和所述第二分量中介层。
9.根据权利要求6所述的方法,其中键合至所述第一分量中介层、所述第二分量中介层或两者的所述多个分量集成电路包括现场可编程门阵列、存储器器件、模数转换器、数模转换器、混合信号处理器、光信号处理器、微处理器或其任一组合。
10.根据权利要求6所述的方法,其中所述晶片被切割成大于所述光刻系统的所述刻线极限的尺寸。
11.一种集成电路器件,其包括:
第一集成电路器件;
子管芯,其被配置为支持所述第一集成电路器件;以及
中介层,其被配置为在所述第一集成电路与所述子管芯之间提供芯片至芯片互连,其中所述中介层包括通过管芯密封结构彼此隔离的至少两个非交叠分量中介层。
12.根据权利要求11所述的集成电路器件,其中所述第一集成电路包括现场可编程门阵列,并且其中所述子管芯包括另一现场可编程门阵列、存储器器件、模数转换器、数模转换器、混合信号处理器、光信号处理器、微处理器或其任一组合。
13.根据权利要求11所述的集成电路器件,其中所述中介层包括至少三个非交叠分量中介层。
14.根据权利要求13所述的集成电路器件,其中所述至少三个非交叠分量中介层中的至少两个包括同样的芯片至芯片互连结构。
15.根据权利要求13所述的集成电路器件,其中至少部分所述第一集成电路和至少部分所述子管芯被设置在所述至少三个分量中介层的第一个中介层上方,其中所述第一集成电路和所述第二集成电路被配置为至少部分利用全部位于所述至少三个中介层的第一个中介层之内的芯片至芯片互连进行通信。
16.根据权利要求11所述的集成电路器件,其中所述至少两个分量中介层中仅一个中介层包括所述芯片至芯片互连。
17.根据权利要求11所述的集成电路器件,其中所述中介层大于用于制造所述中介层的光刻系统的刻线极限,但是所述至少两个分量中介层的每一个均于或小于所述刻线极限。
18.一种集成电路器件,其包括:
连续接合中介层,其包括:
包含第一芯片至芯片互连的第一分量中介层;
包含第二芯片至芯片互连的第二分量中介层;以及
管芯密封结构,其被设置在所述第一分量中介层与所述第二分量中介层之间而不被任何芯片至芯片互连横穿;
第一分量集成电路,其被设置在所述第一分量中介层和所述第二分量中介层上方并且电耦合到所述第一芯片至芯片互连和所述第二芯片至芯片互连;以及
第二分量集成电路,其被设置在所述第一分量中介层和所述第二分量中介层上方并且电耦合到所述第一芯片至芯片互连和所述第二芯片至芯片互连;
其中所述第一和第二分量集成电路被配置为通过所述第一芯片至芯片互连和所述第二芯片至芯片互连而相互通信。
19.一种系统,其包括:
处理器;以及
集成电路器件,其被配置为对来自所述处理器的数据进行操作,其中所述集成电路器件包括至少两个分量集成电路,所述分量集成电路被配置为通过包括具有多组非交叠的芯片至芯片互连的至少两个分量中介层的接合中介层进行互相通信。
20.根据权利要求19所述的系统,其中所述系统包括计算机、手持电子设备、便携式电话、工业控制系统或其任一组合。
21.根据权利要求19所述的系统,其中所述集成电路器件具有大于用于图案化所述接合中介层的所述至少两个分量中介层的光刻系统的刻线极限的面积。
22.一种硅中介层,其被配置为有利于两个集成电路芯片之间的通信,其包括:
第一分量中介层;
第二分量中介层;以及
管芯密封结构,其被配置为将所述第一和第二分量中介层隔离。
23.根据权利要求22所述的硅中介层,其中所述第一分量中介层和所述第二分量中介层各自包括被配置为向所述两个集成电路芯片提供通信信道的多个芯片至芯片互连。
24.根据权利要求22所述的硅中介层,其中所述第一分量中介层而非所述第二分量中介层包括被配置为向所述两个集成电路芯片提供通信信道的多个芯片至芯片互连。
25.一种硅中介层,其包括利用20nm光刻系统图案化的特征件,其中所述硅中介层超过26mm×32mm。
CN201310757185.6A 2012-12-21 2013-12-20 具有接合中介层的集成电路器件 Pending CN103887290A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/725,591 US8866304B2 (en) 2012-12-21 2012-12-21 Integrated circuit device with stitched interposer
US13/725,591 2012-12-21

Publications (1)

Publication Number Publication Date
CN103887290A true CN103887290A (zh) 2014-06-25

Family

ID=50956115

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310757185.6A Pending CN103887290A (zh) 2012-12-21 2013-12-20 具有接合中介层的集成电路器件

Country Status (2)

Country Link
US (1) US8866304B2 (zh)
CN (1) CN103887290A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109768035A (zh) * 2017-11-10 2019-05-17 台湾积体电路制造股份有限公司 半导体器件和制造方法
CN112136209A (zh) * 2019-04-24 2020-12-25 深圳市汇顶科技股份有限公司 集成转接件的第一元件、互联结构及其制备方法
CN113451291A (zh) * 2021-06-19 2021-09-28 中国电子科技集团公司第五十八研究所 一种基于FPGA与AD/DA转换器的高带宽数字信号处理SiP电路装置
CN115268222A (zh) * 2018-12-14 2022-11-01 深圳市奇普乐芯片技术有限公司 方法、ic裸片以及半导体装置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9204542B1 (en) * 2013-01-07 2015-12-01 Xilinx, Inc. Multi-use package substrate
US9543192B2 (en) * 2015-05-18 2017-01-10 Globalfoundries Singapore Pte. Ltd. Stitched devices
US10445278B2 (en) * 2016-12-28 2019-10-15 Intel Corporation Interface bridge between integrated circuit die
US11043459B2 (en) 2017-06-29 2021-06-22 Intel Corporation Multiple reticle field semiconductor devices
US11632112B2 (en) * 2017-12-27 2023-04-18 Intel Corporation Integrated circuit device with separate die for programmable fabric and programmable fabric support circuitry
KR20210048256A (ko) 2019-10-23 2021-05-03 삼성전자주식회사 반도체 장치 및 그 제조 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070159611A1 (en) * 2003-01-08 2007-07-12 Intel Corporation, A Delaware Corporation Source Multiplexing in Lithography
US20110010932A1 (en) * 2007-11-22 2011-01-20 Shinko Electric Industries Co., Ltd. Wiring board, semiconductor device having wiring board, and method of manufacturing wiring board
US20110042824A1 (en) * 2009-08-20 2011-02-24 Fujitsu Limited Multi-chip module and method of manufacturing the same
CN102012470A (zh) * 2009-09-04 2011-04-13 日月光半导体(上海)股份有限公司 封装基板的电性测试转接板及其方法
CN103456688A (zh) * 2012-05-31 2013-12-18 美国博通公司 用于半导体封装的内插芯片
CN103579183A (zh) * 2012-08-10 2014-02-12 台湾积体电路制造股份有限公司 中介层系统及方法
CN103579052A (zh) * 2012-08-01 2014-02-12 马维尔以色列(M.I.S.L.)有限公司 集成电路插入器及其制造方法
CN104350595A (zh) * 2012-07-17 2015-02-11 华为技术有限公司 克服分划板区域限制的大型硅中介板

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8957512B2 (en) * 2012-06-19 2015-02-17 Xilinx, Inc. Oversized interposer
US9337120B2 (en) * 2012-08-17 2016-05-10 Cisco Technology, Inc. Multi-chip module with multiple interposers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070159611A1 (en) * 2003-01-08 2007-07-12 Intel Corporation, A Delaware Corporation Source Multiplexing in Lithography
US20110010932A1 (en) * 2007-11-22 2011-01-20 Shinko Electric Industries Co., Ltd. Wiring board, semiconductor device having wiring board, and method of manufacturing wiring board
US20110042824A1 (en) * 2009-08-20 2011-02-24 Fujitsu Limited Multi-chip module and method of manufacturing the same
CN102012470A (zh) * 2009-09-04 2011-04-13 日月光半导体(上海)股份有限公司 封装基板的电性测试转接板及其方法
CN103456688A (zh) * 2012-05-31 2013-12-18 美国博通公司 用于半导体封装的内插芯片
CN104350595A (zh) * 2012-07-17 2015-02-11 华为技术有限公司 克服分划板区域限制的大型硅中介板
CN103579052A (zh) * 2012-08-01 2014-02-12 马维尔以色列(M.I.S.L.)有限公司 集成电路插入器及其制造方法
CN103579183A (zh) * 2012-08-10 2014-02-12 台湾积体电路制造股份有限公司 中介层系统及方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109768035A (zh) * 2017-11-10 2019-05-17 台湾积体电路制造股份有限公司 半导体器件和制造方法
US11101140B2 (en) 2017-11-10 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11183399B2 (en) 2017-11-10 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN115268222A (zh) * 2018-12-14 2022-11-01 深圳市奇普乐芯片技术有限公司 方法、ic裸片以及半导体装置
CN115268222B (zh) * 2018-12-14 2024-04-30 深圳市奇普乐芯片技术有限公司 方法、ic裸片以及半导体装置
CN112136209A (zh) * 2019-04-24 2020-12-25 深圳市汇顶科技股份有限公司 集成转接件的第一元件、互联结构及其制备方法
CN113451291A (zh) * 2021-06-19 2021-09-28 中国电子科技集团公司第五十八研究所 一种基于FPGA与AD/DA转换器的高带宽数字信号处理SiP电路装置

Also Published As

Publication number Publication date
US8866304B2 (en) 2014-10-21
US20140175666A1 (en) 2014-06-26

Similar Documents

Publication Publication Date Title
CN103887290A (zh) 具有接合中介层的集成电路器件
CN102054823B (zh) 半导体装置及其芯片选择方法
KR101856437B1 (ko) 초전도 회로 물리적 레이아웃 시스템 및 방법
CN104603942B (zh) 使用于多裸晶集成电路的有弹性尺寸的裸晶
CN103207849B (zh) 用于灵活电子接口的装置和关联方法
CN110088897A (zh) 直接键合原生互连件和有源基部管芯
US9679840B2 (en) Method for layout design and structure with inter-layer vias
CN106575652B (zh) 用于系统级封装(sip)器件的改良基板
JPS60117758A (ja) 素子位置割当て方法
US9166594B2 (en) Flexible, space-efficient I/O circuitry for integrated circuits
CN103123920A (zh) 电子组件装置和关联方法
CN104752415A (zh) 用于三维集成电路的方法和装置
CN112417809A (zh) 用于校验具有光子器件的集成电路堆叠的方法
KR20180094113A (ko) 칩렛들을 마운팅하기 위한 사이트들의 패턴을 갖는 인터포저
CN102520340B (zh) 具有测试结构的半导体封装元件及其测试方法
US9698123B2 (en) Apparatus for stacked electronic circuitry and associated methods
JP5426966B2 (ja) 半導体集積回路装置
GB2526825A (en) An integrated circuit with interface circuitry, and an interface cell for such interface circuitry
CN103887288B (zh) 半导体集成电路和具有半导体集成电路的半导体系统
KR20170016450A (ko) 모듈형 적층 집적 회로를 제조하기 위한 시스템 및 방법
Kadomoto et al. Wixi: An inter-chip wireless bus interface for shape-changeable chiplet-based computers
CN112397500A (zh) 具有用于信号分配的内部信道的ic布局瓦片
US20210257316A1 (en) Semiconductor device including dummy conductive cells
WO2022001062A1 (zh) 利用有源硅连接层实现内置模拟电路的多裸片fpga
Huang et al. A novel design methodology for hybrid process 3D-IC

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20140625