CN103872009B - 包括集成无源器件的集成电路及其制造方法 - Google Patents

包括集成无源器件的集成电路及其制造方法 Download PDF

Info

Publication number
CN103872009B
CN103872009B CN201310676931.9A CN201310676931A CN103872009B CN 103872009 B CN103872009 B CN 103872009B CN 201310676931 A CN201310676931 A CN 201310676931A CN 103872009 B CN103872009 B CN 103872009B
Authority
CN
China
Prior art keywords
electrode
insulating layer
mim capacitor
integrated circuit
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310676931.9A
Other languages
English (en)
Other versions
CN103872009A (zh
Inventor
任小伟
W·R·博格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of CN103872009A publication Critical patent/CN103872009A/zh
Application granted granted Critical
Publication of CN103872009B publication Critical patent/CN103872009B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

集成无源器件(100,1100)(例如,金属绝缘体金属、或MIM电容器)及其形成方法的实施例包括在半导体衬底(300)上(例如,在衬底表面上的电介质层(112,312)上)沉积(206,212)复合电极(120,820),以及在所述复合电极上沉积(208)绝缘层(140,1040)。所述复合电极包括底层电极(122,822)和沉积在所述底层电极的顶表面(123)上的上层电极(124,824)。由第一导电材料(例如,AlCuW)形成所述底层电极,而由不同的第二导电材料(例如,AlCu)形成所述上层电极。所述底层电极的所述顶表面(123)可以具有相对粗糙的表面形态表面形貌,而所述上层电极的顶表面(125)可以具有相对平滑的表面形态表面形貌。所述复合电极和所述绝缘层可以厚于在一些传统集成无源器件中的复合电极和绝缘层。

Description

包括集成无源器件的集成电路及其制造方法
技术领域
本发明的实施例通常涉及包括了集成无源器件的集成电路及其制造方法。
背景技术
集成无源器件已被用在用于各种目的的互补金属-氧化物-半导体(CMOS)集成电路中,例如包括滤波、解耦和阻抗匹配。例如,各种类型的电容器、电感器、变压器和电阻器通常被集成到CMOS电路中。
一种特定类型的集成无源器件是金属-绝缘体-金属(MIM)电容器,其制造相当低廉并且特别适合于很多应用。MIM电容器基本上包括通过相对薄的绝缘层隔开的平面的上下金属电极。通常,图案化的金属层(例如,布线层)的一部分可以用作MIM电容器的下电极,并且另一种导电材料可以用作MIM电容器的上电极。可以通过另一个较高或较低的金属层来建立与上下电极的接触。
由于其简单的构造以及包括电容器设计中现有金属层部分(例如,对于下电极和电极接触)的能力,MIM电容器相对容易地被合并到很多集成电路设计中。然而,因为传统的MIM电容器趋向于具有相对低的击穿电压和相对高的漏电流,所以传统的MIM电容器对于相对高频率和/或相对高功率应用的适用性是受限制的。其它类型的标准集成无源器件对于相对高频率和/或相对高功率应用的适用性也可能受到限制。因此,需要当用在相对高频率和/或高功率应用中时,表现出可接受性能的MIM电容器和其它集成无源器件。
附图说明
结合以下附图,通过参考详细说明书和权利要求,可以对本主题有更加完整的理解,其中在附图中,相同的参考符号表示类似的元件。
图1是根据示例实施例的金属-绝缘体-金属(MIM)电容器的横截面侧视图;
图2是根据示例实施例的制造包括一个或多个MIM电容器和/或其它集成无源器件的集成电路器件的方法流程图;
图3-图11是根据示例实施例的在图2的制造过程步骤期间的集成电路器件的部分的横截面侧视图;
图12是根据示例实施例的包括与集成电路器件的其它组件电连接的一个或多个MIM电容器的集成电路器件的示例的简化横截面侧视图。
具体实施方式
以下的详细说明实际上仅仅是示例性的,不旨在限定本主题或本申请的实施例以及这些实施例的使用。正如在此所使用的,词语“示例的”指“用作示例、实例或说明”。在在此描述为示例的任何实施不一定被解释为优于其它实施或比其它实施有优势。此外,也不旨在受存在于先前技术领域、背景或以下详细说明中的任何明示或暗示的理论所限定。
在此所讨论的示例实施例包括集成无源器件,该器件具有使其特别适合于高频率和/或高功率应用的特征。然而,应理解,各种实施例也可以与相对低频率和/或低功率应用一起使用,并且可以对实施例做出各种修改以使其更好地适合于这样的低频率和/或低功率应用。而且,虽然附图和说明书主要集中于特定类型的集成无源器件,特别是金属-绝缘体-金属(MIM)电容器,但是应理解,本发明主题的各个特征也可以应用于其它类型的集成无源器件(例如,电感器、变压器、电阻器等等)的结构中,其中各种修改旨在落在本发明主题的范围内。例如,虽然在此所描述的MIM电容器的实施例包括“复合”金属下电极,但是不同类型的集成无源器件可以包括不同的复合金属特征(例如,复合金属线圈、电阻片等等)。
正如先前所讨论的,MIM电容器基本上包括通过绝缘层隔开的上下金属电极。在此所描述的MIM电容器的实施例适合于相对高功率和高频率的应用(例如,MIM电容器与射频(RF)功率晶体管集成于其中以用于阻抗匹配或其它目的的应用)。更具体地,各种MIM电容器的实施例包括相对厚的下电极,其被配置用于满足低电阻要求和严格的电迁移限制,这是高功率和高频率应用的特征。例如,如将要在下面更详细描述的,在此所描述的MIM电容器的实施例包括下电极,其厚度可以是其它传统的MIM电容器厚度的两倍或更多倍,使得下电极具有相对低的电阻。更具体地,鉴于传统的MIM电容器可以具有大约0.6微米厚的下电极,示例MIM电容器的实施例可以具有在大约1.0微米至大约2.0微米范围内(例如,大约1.5微米)的厚度的复合下电极,但是MIM电容器的实施例也可以具有更厚或更薄的复合下电极。此外,在实施例中,下电极体由满足严格的电迁移限制的材料(例如,铝铜钨)形成。
在此所讨论的MIM电容器的实施例均包括由复合金属层(例如,包括底层金属层和上层金属层的金属层)的各部分形成的“复合”下电极(例如,图1的复合下电极120)。正如上面简要讨论的,为了使MIM电容器特别适合于相对高频率、高功率的应用,示例MIM电容器的实施例包括比传统的MIM电容器的下电极明显厚的复合下电极。例如,复合下电极可以由至少两个金属层的各部分形成,包括相对厚的底层(例如,图4的底层金属层422)和形成于底层表面上的相对薄的上层金属层(例如,图5的上层524)。根据实施例,底层金属层由具有比用于一些传统MIM电容器的电极金属更有利的电迁移性能的材料形成,这使得MIM电容器的实施例特别适合于高功率、高频率应用。例如,示例MIM电容器的实施例可以使用铝铜钨(AlCuW)用于复合下电极的底层金属层,但是也可以使用其它材料。在实施例中,上层金属层由不同的材料形成。例如,示例MIM电容器的实施例可以使用铝铜(AlCu)用于复合下电极的上层金属层,但是也可以使用其它材料。
由于其厚度、沉积温度、和/或材料特征,底层金属层(例如,AlCuW的金属层)可以具有相对粗糙的表面形貌(例如,底层顶面上的相对大的颗粒结构)。相反,上层金属层(例如,铝铜的金属层)可以具有相对平滑的表面形貌。因此,根据各种实施例,通过在底层金属层顶部沉积上层金属层,复合下电极的整体表面形貌(即,上层金属层的表面形貌)可以明显比单独的底层金属层的表面形貌平滑。这可以提高使用复合下电极制造的MIM电容器的可靠性。
除了包括相对厚的下电极,在此所描述的MIM电容器的实施例包括位于上下金属电极之间的相对厚的绝缘层(例如,图1的绝缘层140)。通常,MIM电容器的击穿电压随着绝缘体厚度的增加而增加。例如,带有厚度是大约100埃至大约500埃的绝缘层的传统MIM电容器可能导致器件具有大约10伏至大约50伏的击穿电压,这对于很多应用都是可以接受的。相反,示例MIM电容器的实施例可以具有厚度在大约至大约范围内的绝缘层,这导致器件具有在大约150伏至大约250伏范围内的击穿电压。因此,在此所公开的示例MIM电容器的实施例可以更好地适合于带有严格的可靠性要求(例如,依赖时间的介质击穿(TDDB)要求)的高电压应用。
在低于下电极的熔化温度(例如,在实施例中,小于大约500摄氏度)的温度处形成绝缘层。众所周知,用于MIM电容器中的绝缘层的一些材料相对易碎,使得材料的坚固性对它们在其上沉积的表面形貌特别敏感。这种对表面形貌的敏感性通常随着较高的操作电压和绝缘体厚度的增加而增加。通过包括具有相对平滑的表面形貌的复合下电极,相对厚而坚固的绝缘层可以被包括在此处所描述的MIM电容器的各种示例实施例中。因此,对于上述所讨论的各种原因,此处所描述的MIM电容器的实施例可以比传统的MIM电容器更好地适合于高频率、高功率应用,同时适度坚固、易于制造且价格低廉。
图1是根据示例实施例的MIM电容器100的横截面侧视图。正如随后将要更详细讨论的,MIM电容器100可以形成集成电路器件(例如,图12的集成电路器件1200)的一部分。更具体地,MIM电容器100可以被构成在半导体衬底(例如,图12的半导体衬底300)上,各个电介质层和金属层(例如,图12的图案化的金属层304、308和电介质层306、310、312)位于半导体衬底的顶表面和MIM电容器100之间,其中电介质层和金属层为集成电路器件提供布线和互连结构。通过各种互连(例如,形成于图12的金属层304、308、520、1170和穿孔322、324、1202、1204的布线迹线),MIM电容器100可以与集成电路器件的其它组件(例如,图12的组件302)电连接,以形成有源或无源电路。因此,尽管孤立地讨论了MIM电容器100及其制造方法的实施例,但是应理解,MIM电容器100可以与集成电路器件的其它部分整体地形成和互连。
在实施例中,MIM电容器100包括复合下电极120、绝缘层140和具有由上电极板150限定的形状的上电极,所有这些都形成在集成电路器件的第一电介质层112上。此外,在实施例中,MIM电容器100可以包括位于复合下电极120的顶表面121与绝缘层140的底表面之间的覆盖层130。在第二电介质层160内的开口中沉积的下电极接触和上电极接触172、174分别提供对下电极120和上电极板150的电连接。
复合下电极120被沉积在第一电介质层112的顶表面113上方(例如,位于顶表面上)。根据各种实施例,第一电介质层112可以是形成在半导体衬底表面上的布线和互连结构的多个电介质层中的任何电介质层。可替换地,第一电介质层112可以是一些其它的绝缘材料层,其并非是布线和互连结构的特定部分,或者MIM电容器100可以直接形成在半导体结构的表面上。然而,当MIM电容器100被包括在设计用于高频率应用(例如,RF频率)的电路中时,可以期望在半导体衬底界面上方的一定距离形成MIM电容器100,以减少MIM电容器100和衬底和/或底层电路(例如,带有位于MIM电容器和半导体衬底的顶表面之间的布线和互连结构的几个电介质层和金属层)之间的耦合。
根据实施例,复合下电极120包括底层电极122和上层电极124,它们分别由底层金属层(例如,图4的底层金属层422)部分和上层金属层部分(例如,图5的上层金属层524)形成。一旦被图案化,底层金属层和上层金属层(例如,图5的复合金属层520)可以共同构成集成电路器件的布线和互连结构的布线层。例如,底层和上层金属层可以构成集成电路器件的布线和互连结构的M1、M2、M3、M4、M5或更高层中的一个。
在实施例中,底层电极122形成在第一电介质层112的顶表面113上,并且上层电极124形成在底层电极122的顶表面123上。底层电极122由具有相对良好的电迁移性能的第一导电材料形成。例如,在实施例中,第一导电材料是铝铜钨或者包括铝铜钨(AlCuW)。在可替换的实施例中,第一导电材料可以是具有良好的电迁移性能的另外的导电材料。上层电极124由具有相对平滑的表面形貌的第二导电材料形成,并且第二导电材料可以不同于第一导电材料。例如,在实施例中,第二导电材料是铝铜或者包括铝铜(AlCu)。在可替换的实施例中,第二导电材料可以是具有相对光滑的表面形貌的另外的导电材料。
在实施例中,复合下电极120的总厚度可以大体上厚于很多传统的MIM电容器的典型的布线层或下电极的厚度。例如,复合下电极120的总厚度可以在大约1.0微米至大约2.0微米的范围内(例如,大约1.5微米),但是复合下电极120也可以更厚或更薄。根据实施例,当与上层电极124的厚度比较时,底层电极122的厚度相对大。例如,在实施例中(例如,上层电极124的厚度可以在复合下电极120的总厚度的大约5%至大约15%的范围内),底层电极122的厚度可以在复合下电极120的总厚度的大约85%至大约95%的范围内。可替换地,底层电极122的厚度可以是复合下电极120的总厚度的更大或更小的百分比。更具体地,根据实施例,底层电极122具有在大约1.0微米至大约2.0微米范围内的厚度,并且上层电极124具有在大约0.1微米至大约0.3微米范围内的厚度。
根据实施例,底层电极122的顶表面123可以具有相对粗糙的形貌(例如,相对大的颗粒结构)。相反,上层电极124的顶表面125可以具有相对平滑的形貌(例如,相对小的颗粒结构)。因此,复合下电极120的整体表面形貌(即,上层电极124的表面形貌)可以比仅仅底层电极122的表面形貌更平滑。例如,可以通过其表面宽度、其相关长度、和/或表示表面粗糙度的其它量的饱和值来量化底层电极122和上层电极124的表面形貌。根据实施例,无论粗糙度如何被量化,底层电极122的表面粗糙度可以是上层电极124的表面粗糙度的大约25%或更高。可替换地,当与上层电极124的表面比较时,底层电极122的表面可能不与其一样粗糙。
根据实施例,MIM电容器100还包括形成在上层电极124的顶表面125(例如,在复合下电极120的顶表面121上)上的覆盖层130。覆盖层130在特定集成方案中可以是有用的,并且可以(例如,通过提供稳定的金相层)提高MIM电容器100的可靠性。在可替换的实施例中,可以不包括覆盖层130。在实施例中,期望由具有良好的电迁移性能的材料形成覆盖层130。可以由单层材料或多层材料形成覆盖层130。例如,根据特定实施例,覆盖层130可以包括一层或多层的钛(Ti)以及一层或多层的氮化钛(TiN)。可替换地,可以由其它材料形成覆盖层130,或者完全不包括覆盖层130。在实施例中,覆盖层130具有在大约0.02微米至大约0.08微米范围内的厚度,但是覆盖层130也可以更厚或更薄。
绝缘层140被沉积在复合下电极120的上方(例如,当包括覆盖层130时,在覆盖层130上面)。根据实施例,由具有相对高的介电常数的材料(例如等离子增强氮化物或等离子增强氧化物)形成绝缘层140,但是也可以由其它材料形成绝缘层140。绝缘层140的厚度足以为MIM电容器100提供期望的击穿电压。例如,绝缘层140具有在大约至大约的范围内(例如,大约)的厚度,使得MIM电容器100具有明显高于典型的MIM电容器(例如,具有大约10伏的击穿电压的MIM电容器)的击穿电压。绝缘层140也可以比上述给定范围更厚或更薄。
MIM电容器100进一步包括形成在绝缘层140(例如,绝缘层140的顶表面上)上的上电极。可以例如由相对薄的上电极板150限定上电极的形状。例如,可以由单层材料或多层材料形成上电极板150。例如,根据特定实施例,上电极板150可以包括单层的氮化钛(TiN)。可替换地,可以由一层或多层的其它材料形成上电极板150。在实施例中,上电极板150具有在大约0.2微米至大约0.3微米范围内的厚度,但是上电极板150也可以更厚或更薄。正如随后将要更详细解释的,随着限定上电极的形状,在MIM电容器100的制造期间(例如,在蚀刻期间),上电极板150可以起到保护绝缘层140的作用。在可替换的实施例中,可以不包括上电极板150,而上电极接触174可以起到上电极的作用。由于至少为了提供蚀刻停止的目的而期望包括上电极板150,因此上电极板150可以在此被简称为“上电极”。
第二电介质层160形成在MIM电容器100的各部分上方或相邻于MIM电容器100的各部分。例如,第二电介质层160可以构成集成电路器件的布线和互连结构的电介质层(例如,位于布线和互连结构的两个金属布线层之间的电介质层)。根据实施例,第二电介质层160包括第一部分,该第一部分从第二电介质层160的顶表面161延伸到底层第一电介质层112的顶表面113。第二电介质层160的第一部分起到将MIM电容器100从集成电路器件的相邻器件和特征电隔离的作用。此外,第二电介质层160包括第二部分,该第二部分从第二电介质层160的顶表面161延伸到上电极板150和绝缘层140的顶表面。第二电介质层160的第二部分起到将下电极接触172和上电极接触174彼此电隔离的作用。
更具体地,第二电介质层160包括至少两个开口(例如,图10的开口1062、1064),其中下电极接触172和上电极接触174形成于开口内。在实施例中,下电极接触172穿过第二电介质层160、绝缘层140以及覆盖层130(当包括时)延伸到复合下电极120的顶表面121。在可替换的实施例中,覆盖层130的一部分(未示出)可以存在于下电极接触172和复合下电极120之间。上电极接触174穿过第二电介质层160延伸到上电极板150。因此,下电极接触172和上电极接触174分别提供到下电极和上电极的电连接。形成上电极和下电极接触174、172的金属层可以相对厚(例如,在大约3微米至大约4微米范围内,或一些其它厚度)。
根据实施例,下电极接触172和上电极接触174形成集成电路器件的布线和互连结构的金属层的各部分。例如,下电极接触172和上电极接触174可以构成集成电路器件的布线和互连结构的M1、M2、M3、M4、M5或更高层中的一个的各部分。作为更具体的示例,可以由M4和M5层的各部分以及它们的中间电介质层形成MIM电容器100。更具体地,可以由M4层的一部分形成复合下电极120,可以由M5层的各部分形成上电极和下电极接触174、172,以及可以由M4和M5层之间的电介质层的各部分形成第二电介质层160。可替换地,可以由较低或较高的金属层的各部分形成复合下电极120以及上电极和下电极接触174、172。
虽然可以通过使用非填充的、垂直的通路结构实现电极接触172、174(如各图中所示),但是在另一个实施例中,可以使用不同类型的互连结构实现两个电极接触172、174的其中一个或两者。例如,能够使用锥形的非填充通路、插塞填充通路(例如,钨-插塞(W-插塞)填充通路)、堆叠通路结构和/或其他类型的互连结构来实现两个电极接触172、174的其中一个或两者。此外,虽然各附图表明可以使用与较高的金属层的互连实现到下电极120和上电极板150的电连接,然而在其它可替换的实施例中,可以使用与较低的金属层的互连实现到下电极120(或到下电极120和上电极板150)的电连接。
图2是根据示例实施例的制造包括一个或多个MIM电容器和/或其它集成无源器件的集成电路器件的方法流程图。图2应与图3-图11同时来看,其中图3-图11是根据示例实施例的在图2的制造过程步骤期间的部分集成电路器件的横截面侧视图。
参照图2和图3,在块202中,方法可以始于提供半导体衬底300,以及形成在半导体衬底300中的一个或多个组件302。例如,半导体衬底300可以包括硅衬底、砷化镓衬底、氮化镓衬底、或其他类型的半导体衬底。在各种实施例中,半导体衬底300可以由单晶形成,或者可以包括外延形成的晶体重迭层。外延层可以直接形成在半导体衬底上,或者可以形成在半导体衬底(例如,在绝缘体上硅衬底中)上的绝缘层上方。
根据实施例,一个或多个组件(例如,晶体管、二极管等等)可以形成在半导体衬底300中。例如但不限于,一个或多个组件302可以包括形成在半导体衬底300的表面处的一个或多个晶体管。在各种实施例中,晶体管可以包括金属氧化物半导体(MOS)晶体管和/或双极结型晶体管。根据特定实施例,晶体管包括被设计用于在射频的高功率操作的P-沟道和/或n-沟道横向扩散的MOS晶体管(LDMOS)(例如,晶体管是高功率、RF LDMOS晶体管)中的其中一个或两者。
在块204中,一个或多个金属层304、308和电介质层306、310和312连同通路322、324形成在半导体衬底300上(例如,在其表面上),其中通路322、324电连接金属层304、308。例如,金属层和电介质层304、306、308、310、312可以形成在半导体衬底300上的布线和互连结构320。布线和互连结构320一旦完成,就提供在集成电路器件的各种组件(例如,组件302和图11的MIM电容器1100)之间的电连接,并最终与外部电路电连接。正如将要结合图4-图12更详细说明和描述的,在实施例中,一个或多个MIM电容器(例如,图11的MIM电容器1100)可以形成在布线和互连结构320的顶电介质层312的一个或多个部分(例如,部分330)上。图4-图11显示了集成电路器件的部分330处的MIM电容器(例如,图11、12的MIM电容器1100)制造的各个阶段的放大横截面图。在形成MIM电容器之前,一个或多个通路开口可以形成在布线和互连结构320的电介质层312中,以能够在MIM电容器和底层金属层(例如,通过图12的通路1204)之间建立电连接。
参照图2、图4、和图5,在块206中,复合金属层520(图5)形成在布线和互连结构320的电介质层312上(例如,在其表面上)。正如先前所讨论的,复合金属层520一旦被图案化,就可以构成器件的布线和互连结构的金属布线层(例如,金属层M1、M2、M3、M4、M5或更高层中的其中一个)。形成复合金属层520包括首先在电介质层312上(例如,在其表面上)形成相对厚的底层金属层422,随后在底层金属层422的表面上形成相对薄的上层金属层524。在实施例中,到底层金属层的一个或多个通路(例如,图12的通路1204)可以在底层金属层422的形成期间形成在电介质层312中的通路开口(未示出)中。
在实施例中,由第一导电材料形成底层金属层422,并且由不同于第一导电材料的第二导电材料形成上层金属层524。例如,在实施例中,第一导电材料是AlCuW或包括AlCuW,并且第二导电材料是AlCu或包括AlCu。在可替换的实施例中,第一和/或第二导电材料可以是其它材料或包括其它材料。正如先前所讨论的,当底层金属层422与上层金属层524相互比较时,底层金属层422可以具有相对粗糙的表面形貌,而上层金属层524可以具有相对平滑的表面形貌。
在实施例中,复合金属层520的总厚度522可以在大约1.0微米至大约2.0微米的范围内(例如,大约1.5微米),但是复合金属层520也可以更厚或更薄。根据实施例,当与上层金属层524的厚度526比较时,底层金属层422的厚度424相对大。例如,在实施例中(例如,上层金属层524的厚度可以在复合金属层520的总厚度522的大约5%至大约15%的范围内),底层金属层422的厚度424可以在复合金属层520的总厚度522的大约85%至大约95%的范围内。可替换地,底层金属层422的厚度424可以是复合金属层520的总厚度522的更大或更小的百分比。根据实施例,底层金属层422具有在大约1.0微米至大约2.0微米范围内的厚度,并且上层金属层524具有在大约0.1微米至大约0.3微米范围内的厚度。
参照图2和图6,在实施例中,在块208中,覆盖层634、绝缘层642和上电极板层650形成在复合金属层520上方(例如,在其表面上)。可以由单层材料或由多层材料形成覆盖层634。例如,根据特定实施例,覆盖层634可以包括一层或多层的Ti和一层或多层的TiN。可替换地,可以由其它材料形成覆盖层634,或者完全不包括覆盖层634。在实施例中,覆盖层634具有在大约0.02微米至大约0.08微米范围内的厚度,但是覆盖层634也可以更厚或更薄。在可替换的实施例中,可以不包括覆盖层634。根据各种实施例,可以由等离子增强氮化物或等离子增强氧化物形成绝缘层642,但是也可以由其它材料形成绝缘层642。根据实施例,绝缘层642具有在大约至大约的范围内的厚度644(例如,大约),但是绝缘层642也可以比上述给定范围更厚或更薄。可以由单层材料或由多层材料形成上电极板层650。例如,根据特定实施例,上电极板层650可以包括单层的TiN。可替换地,可以由一层或多层的其它材料形成上电极板层650。在实施例中,上电极板层650具有在大约0.2微米至大约0.3微米范围内的厚度,但是上电极板层650也可以更厚或更薄。可以由单层材料或由多层材料形成上电极板层650。例如,根据特定实施例,上电极板层650可以包括单层的TiN。可替换地,可以由一层或多层的其它材料形成上电极板层650。
参照图2和图7,在块210中,上电极板层650被图案化以限定上电极的形状。例如,图案化过程可以包括通过(例如,使用光刻胶)遮挡(mask)对应于上电极板750的上电极板层650的一部分以及有选择性地蚀刻上电极板650的未遮挡部分来形成上电极板750。在其它实施例中,可以使用形成上电极板750的其它方法(例如,有选择性地沉积上电极板750,而不是有选择性地去除上电极板层650的部分)。
参照图2、图7和图8,在块212中,通过有选择性地去除底层金属层422、上层金属层524、覆盖层634和绝缘层642的部分形成复合下电极820。例如,形成复合下电极820的过程可以包括(例如,使用光刻胶)遮挡上电极板750和绝缘层642的一部分,其中被遮挡的部分对应于复合下电极820的形状,以及有选择性地蚀刻绝缘层642、覆盖层634、上层金属层542和底层金属层422的未遮挡部分。蚀刻过程可以终止于布线和互连结构(例如,图3的结构320)的电介质层312处,使得该过程获得开口810,开口810从绝缘层642的顶表面842延伸到电介质层312的顶表面812。此外,在实施例中,该过程限定了复合下电极820的形状,其包括底层电极822和上层电极824。在蚀刻过程期间,也可以限定覆盖层830和绝缘层840的形状。在其它实施例中,可以使用限定复合下电极820、覆盖层830和绝缘层840的形状的其它方法(例如,对各个层的材料有选择性的多个遮挡和蚀刻过程)。
参照图2、图8、图9和图10,在块214中,电介质层960被沉积在开口810中以及绝缘层840和上电极板750的顶表面上。然后,从电介质层960的顶表面1062到复合下电极820和上电极板750分别形成开口1062、1064。例如,可以通过(例如,使用光刻胶)遮挡对应于电介质层960的不被去除的部分1060的电介质层960部分,以及有选择性地蚀刻电介质层960的未遮挡部分来形成开口1062、1064。可以同时形成或顺序地形成(例如,使用单独的遮挡和蚀刻过程)开口1062、1064。无论哪种方式,使用对电介质层960的材料有选择性的一个或多个蚀刻剂。在各种实施例中,当形成开口1064时,蚀刻过程可以被终止在去除在蚀刻过程期间通过开口1064暴露的上电极板750的所有材料之前。可替换地,所选的蚀刻剂可以对上电极板750的材料没有选择性。当形成开口1062时,所选的蚀刻剂可以对绝缘层840和覆盖层830的材料有选择性,使得这些层的部分被去除以暴露复合下电极820的顶表面。在可替换的实施例中,蚀刻剂可以对覆盖层830的材料没有选择性或者蚀刻过程可以被终止在去除覆盖层830的所有材料之前,使得覆盖层830的一部分保持存在于开口1062的底部。在其它实施例中,可以使用形成开口1062、1064的其它方法。在形成开口1062、1064的过程期间,一个或多个附加通路开口(例如,对应于图12的通路1202,)可以被形成在电介质层960的顶表面和复合金属层520之间。
参照图2和图9-图11,在块216中,上电极和下电极接触1172、1174随后被形成以产生MIM电容器1100。正如先前所提到的,虽然可以使用如各图中所示的非填充的、垂直的通路结构实现电极接触1172、1174,但是在其它实施例中,能够使用不同类型的互连结构(例如,锥形的非填充通路、插塞填充通路(例如,W-插塞)、堆叠通路结构或其他类型的互连结构)实现电极接触1172、1174的其中一个或两者。也如先前所提到的,虽然各附图表明可以使用与较高的金属层的互连实现到复合下电极820和上电极板750的电连接,但是还在其它可替换的实施例中,可以使用与较低的金属层的互连实现到下电极820(或到下电极820和上电极板750二者)的电连接。
根据其中使用给高于下电极820和上电极板750的金属层提供电连接的非填充的、垂直的通路结构实现电极接触1172、1174的实施例,可以通过在电介质层960的开口1062、1064中以及顶部电介质层1060的顶表面1062上沉积导电层,然后图案化导电层以形成上电极和下电极接触1172、1174来形成上电极和下电极接触1172、1174。例如,图案化过程可以包括(例如,使用光刻胶)遮挡对应于上电极和下电极接触1172、1174的导电层部分,以及有选择性地蚀刻导电层的未遮挡部分。在其它实施例中,可以使用形成上电极和下电极接触1172、1174的其它方法(例如,有选择性地沉积上电极和下电极接触1172、1174,而不是有选择性地去除导电层部分)。沉积和图案化过程也可以导致各种附加导电特征的形成,例如通路(例如导电层和底层金属层(例如,图5、12的复合金属层520)之间的图12的通路1202)以及顶部电介质层的顶表面1062上的导电迹线。导电迹线可以构成器件的布线和互连结构的金属布线层1170(例如,金属层M2、M3、M4、M5或更高层中的一个)。附加导电特征有助于将MIM电容器1100与其它电路组件电连接。
例如,图12是根据示例实施例的包括与集成电路器件1200的其它组件(例如,组件302)电连接的一个或多个MIM电容器1100的集成电路器件1200的示例简化横截面侧视图。例如,可以通过各种金属布线层304、308、520、1170和集成电路器件1200的通路322、324、1202、1204使MIM电容器1100与组件302电连接。正如先前所提到的,根据实施例,组件302可以是p-沟道或n-沟道RF LDMOS晶体管。在其他实施例中,组件302可以是其他类型的晶体管或组件。还在其它实施例中,MIM电容器1100可以不与集成电路器件的任何其它组件互连(例如,集成电路器件可以只包括MIM电容器1100而没有其它组件,或者MIM电容器1100可以简单地与接合焊盘连接)。虽然图12中仅示出了一个MIM电容器1100和一个其它组件302,但是应理解,集成电路器件1200也可以包括一个或多个附加的MIM电容器、其它集成无源器件,和/或其它组件。
集成电路器件1200最终可以被合并到较大的电气系统中。例如,在实施例中,集成电路器件1200可以被合并到RF电气系统中,例如RF功率放大器(例如,Doherty放大器),其依次形成RF发射器的一部分。在这样的实施例中,MIM电容器1100可以形成输入阻抗匹配网络、输出阻抗匹配网络或RF功率放大器电路的一些其它电容组件的一部分。在此所描述和说明的MIM电容器的实施例也可以被合并到其它类型的电路和系统中。
上面已描述了电子器件及制造方法的实施例。集成电路的实施例包括集成无源器件。集成无源器件包括沉积在半导体衬底上的复合电极和沉积在复合电极上的绝缘层。复合电极包括底层电极和沉积在底层电极的顶表面上的上层电极。由第一导电材料形成底层电极,而由第二导电材料形成上层电极。底层电极的顶表面具有相对粗糙的表面形貌,而上层电极的顶表面具有相对平滑的表面形貌。
集成电路的另一个实施例包括MIM电容器。MIM电容器包括沉积在半导体衬底上的复合下电极、沉积在复合下电极上的绝缘层以及沉积在绝缘层上的上电极。复合下电极包括底层电极和沉积在底层电极的顶表面上的上层电极。由第一导电材料形成底层电极,而由不同于第一导电材料的第二导电材料形成上层电极。
一种制造集成电路的方法的实施例包括在第一电介质层上形成集成无源器件。通过在半导体衬底上形成复合电极,并且在复合电极上形成绝缘层来形成集成无源器件。复合电极包括底层电极和沉积在底层电极的顶表面上的上层电极。由第一导电材料形成底层电极,而由不同于第一导电材料的第二导电材料形成上层电极。
在此包含在各个附图中所示出的连接线旨在表示各种元件之间的示例功能关系和/或物理耦合。应注意,很多替代或附加功能关系或物理连接可以存在于本主题的实施例中。此外,某些术语也可以在此被使用,这只是为了参考的目的,而不旨在限定,并且除非文中清楚地指明,否则术语“第一”、“第二”以及关于结构的其它数字术语并不暗示序列或顺序。
上述描述涉及被“连接”或“耦合”在一起的元件或特征。正如在此所使用的,除非另有明确说明,否则“连接”指一个元件被直接连接到(或直接互通)其它元件,并且不一定机械地连接。同样,除非另有明确说明,“耦合”指一个元件被直接或间接连接到(直接或间接互通)其它元件,并且不一定机械地耦合。因此,虽然各附图中所示出的示意图描述了各元件的一个示例布局,但是附加的中间元件、器件、特征、或组件可以存在于描述的主题的实施例中。
虽然至少一个示例性实施例存在于上述详细描述中,但是应理解还存在大量的变化。还应理解在此描述的示例性实施例或各实施例并不旨在以任何方式限定所要求的主题的范围、适用性、或配置。当然,上述详细描述将给本领域所属技术人员提供一条便捷的路线图以用于实施所描述的实施例或各实施例。应理解在不脱离权利要求所限定的范围情况下,各元件的功能和布局可以做各种变化,其中包括在提交本专利申请时的已知的同等设备以及可预见的同等设备。

Claims (17)

1.一种制造集成电路的方法,所述方法包括如下步骤:
通过如下步骤在第一电介质层上形成集成无源器件:
在半导体衬底上形成复合电极,其中所述复合电极包括底层电极和沉积在所述底层电极的顶表面上的上层电极,其中由包括AlCuW的第一导电材料形成所述底层电极,由不同于所述第一导电材料的、包括AlCu的第二导电材料形成所述上层电极;以及
在所述复合电极上形成绝缘层。
2.根据权利要求1所述的方法,其中形成所述复合电极包括:
在所述第一电介质层上沉积所述底层电极,其中所述底层电极具有在大约1.0微米至大约2.0微米范围内的厚度;以及
在所述底层电极的所述顶表面上沉积所述上层电极,其中所述上层电极具有在大约0.1微米至大约0.3微米范围内的厚度。
3.根据权利要求1所述的方法,其中所述集成无源器件是金属-绝缘体-金属MIM电容器,所述复合电极是所述MIM电容器的复合下电极,所述绝缘层是所述MIM电容器的绝缘体,以及所述方法进一步包括:
在所述绝缘层上形成所述MIM电容器的上电极。
4.根据权利要求3所述的方法,进一步包括:
在所述上电极上形成第二电介质层;
形成穿过所述第二电介质层、暴露所述上电极的一部分的第一开口;
形成穿过所述第二电介质层和所述绝缘层、暴露所述复合下电极的一部分的第二开口;
在所述第二电介质层上形成金属层;以及
图案化所述金属层以形成上电极接触和下电极接触,所述上电极接触穿过所述第一开口延伸以接触所述上电极,所述下电极接触穿过所述第二开口延伸以接触所述复合下电极。
5.根据权利要求3所述的方法,进一步包括:
在所述半导体衬底中形成横向扩散金属氧化物半导体晶体管;以及
电耦合所述横向扩散金属氧化物半导体晶体管至所述MIM电容器。
6.一种集成电路,包括:
集成无源器件,包括
沉积在半导体衬底上的复合电极,其中所述复合电极包括底层电极和沉积在所述底层电极的顶表面上的上层电极,其中由包括AlCuW的第一导电材料形成所述底层电极,由包括AlCu的第二导电材料形成所述上层电极,并且其中所述底层电极的所述顶表面具有相对粗糙的表面形貌,而所述上层电极的顶表面具有相对平滑的表面形貌;以及
沉积在所述复合电极上的绝缘层。
7.根据权利要求6所述的集成电路,其中所述复合电极具有在大约1.0微米至大约2.0微米范围内的厚度。
8.根据权利要求6所述的集成电路,其中:
所述底层电极具有在大约1.0微米至大约2.0微米范围内的厚度;以及
所述上层电极具有在大约0.1微米至大约0.3微米范围内的厚度。
9.根据权利要求6所述的集成电路,其中由选自于等离子增强氮化物和等离子增强氧化物的材料形成所述绝缘层。
10.根据权利要求6所述的集成电路,其中所述绝缘层具有在大约1500埃至大约2500埃范围内的厚度。
11.根据权利要求6所述的集成电路,其中所述集成无源器件是金属-绝缘体-金属MIM电容器,所述复合电极形成所述MIM电容器的复合下电极,所述绝缘层形成所述MIM电容器的绝缘体,并且所述MIM电容器进一步包括:
位于所述绝缘层上的上电极。
12.根据权利要求11所述的集成电路,其中由选自于Ti和TiN的一种或多种材料形成所述上电极。
13.根据权利要求11所述的集成电路,其中所述绝缘层包括暴露所述复合下电极的一部分的开口,所述集成无源器件进一步包括:
所述上电极上的图案化的电介质层,其中所述图案化的电介质层包括暴露所述上电极的一部分的第一开口和与所述绝缘层中的开口对齐的第二开口;以及
所述图案化的电介质层上的图案化的金属层,其中所述图案化的金属层包括上电极接触和下电极接触,所述上电极接触穿过所述第一开口延伸以接触所述上电极,所述下电极接触穿过所述第二开口和所述绝缘层中的开口延伸以接触所述复合下电极。
14.一种集成电路,包括:
金属-绝缘体-金属MIM电容器,包括
沉积在半导体衬底上的复合下电极,其中所述复合下电极包括底层电极和沉积在所述底层电极的顶表面上的上层电极,其中由包括AlCuW的第一导电材料形成所述底层电极,由不同于所述第一导电材料的、包括AlCu的第二导电材料形成所述上层电极;
沉积在所述复合下电极上的绝缘层;以及
沉积在所述绝缘层上的上电极。
15.根据权利要求14所述的集成电路,其中所述复合下电极具有在大约1.0微米至大约2.0微米范围内的厚度。
16.根据权利要求14所述的集成电路,其中:
所述底层电极具有在大约1.0微米至大约2.0微米范围内的厚度;以及
所述上层电极具有在大约0.1微米至大约0.3微米范围内的厚度。
17.根据权利要求14所述的集成电路,进一步包括:
电耦合至所述MIM电容器的横向扩散金属氧化物半导体晶体管。
CN201310676931.9A 2012-12-12 2013-12-12 包括集成无源器件的集成电路及其制造方法 Active CN103872009B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/712,051 US8906773B2 (en) 2012-12-12 2012-12-12 Integrated circuits including integrated passive devices and methods of manufacture thereof
US13/712,051 2012-12-12

Publications (2)

Publication Number Publication Date
CN103872009A CN103872009A (zh) 2014-06-18
CN103872009B true CN103872009B (zh) 2018-10-12

Family

ID=49876356

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310676931.9A Active CN103872009B (zh) 2012-12-12 2013-12-12 包括集成无源器件的集成电路及其制造方法

Country Status (4)

Country Link
US (1) US8906773B2 (zh)
EP (1) EP2744003B1 (zh)
JP (1) JP6349079B2 (zh)
CN (1) CN103872009B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257496B2 (en) * 2013-01-16 2016-02-09 United Microelectronics Corporation Method of fabricating capacitor structure
US9577025B2 (en) * 2014-01-31 2017-02-21 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor in redistribution layer (RDL) of an integrated device
US10050104B2 (en) 2014-08-20 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor having a graphene structure, semiconductor device including the capacitor and method of forming the same
WO2019021827A1 (ja) * 2017-07-26 2019-01-31 株式会社村田製作所 キャパシタ
CN108417565A (zh) * 2018-02-05 2018-08-17 上海华虹宏力半导体制造有限公司 Mim电容的工艺方法
US10497519B1 (en) 2018-09-27 2019-12-03 International Business Machines Corporation Back-end-of-the line capacitor
CN113394341A (zh) * 2020-03-13 2021-09-14 联华电子股份有限公司 金属-绝缘层-金属电容器及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1639861A (zh) * 2001-08-29 2005-07-13 自由度半导体公司 用于制作mim电容器的方法
US7294544B1 (en) * 1999-02-12 2007-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a metal-insulator-metal capacitor in the CMOS process
CN101160663A (zh) * 2003-09-23 2008-04-09 飞思卡尔半导体公司 半导体器件及其制造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04324636A (ja) * 1991-04-24 1992-11-13 Kawasaki Steel Corp 半導体装置およびその製造方法
JP2819869B2 (ja) * 1991-07-22 1998-11-05 日本電気株式会社 半導体装置の製造方法
US5754390A (en) * 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
JP3939112B2 (ja) * 2001-08-03 2007-07-04 松下電器産業株式会社 半導体集積回路
JP2003086625A (ja) * 2001-09-13 2003-03-20 Murata Mfg Co Ltd 電子部品素子、電子部品装置
JP3553535B2 (ja) * 2001-09-28 2004-08-11 ユーディナデバイス株式会社 容量素子及びその製造方法
DE10161285A1 (de) * 2001-12-13 2003-07-03 Infineon Technologies Ag Integriertes Halbleiterprodukt mit Metall-Isolator-Metall-Kondensator
US6744117B2 (en) * 2002-02-28 2004-06-01 Motorola, Inc. High frequency semiconductor device and method of manufacture
JP2004165559A (ja) * 2002-11-15 2004-06-10 Toshiba Corp 半導体装置
JP3995619B2 (ja) * 2003-03-12 2007-10-24 富士通株式会社 薄膜キャパシタ素子、その製造方法及び電子装置
JP2006013070A (ja) * 2004-06-24 2006-01-12 Renesas Technology Corp 半導体装置およびその製造方法
JP2007251052A (ja) * 2006-03-17 2007-09-27 Fujitsu Ltd キャパシタ及びその製造方法
JP4977400B2 (ja) * 2006-05-09 2012-07-18 日本電気株式会社 半導体装置及びその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294544B1 (en) * 1999-02-12 2007-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a metal-insulator-metal capacitor in the CMOS process
CN1639861A (zh) * 2001-08-29 2005-07-13 自由度半导体公司 用于制作mim电容器的方法
CN101160663A (zh) * 2003-09-23 2008-04-09 飞思卡尔半导体公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
EP2744003B1 (en) 2020-02-12
EP2744003A2 (en) 2014-06-18
US8906773B2 (en) 2014-12-09
EP2744003A3 (en) 2017-03-29
JP2014120770A (ja) 2014-06-30
CN103872009A (zh) 2014-06-18
US20140159198A1 (en) 2014-06-12
JP6349079B2 (ja) 2018-06-27

Similar Documents

Publication Publication Date Title
CN103872009B (zh) 包括集成无源器件的集成电路及其制造方法
JP6046282B2 (ja) 金属絶縁体金属キャパシタ構造
TWI499027B (zh) 用於esd保護之濾波器以及製造該濾波器之方法
TWI395240B (zh) 積體半導體電感器及其形成方法與積體半導體濾波器
US7935607B2 (en) Integrated passive device with a high resistivity substrate and method for forming the same
JP5059784B2 (ja) 半導体装置
JP5539624B2 (ja) 薄膜抵抗素子、及び薄膜抵抗素子の製造方法
JP7052824B2 (ja) 薄膜型lc部品およびその実装構造
JP2004266009A (ja) 半導体装置およびその製造方法
TWI569576B (zh) 具有單一組合串聯及並聯電容器組件的輸出匹配網路
US8907227B2 (en) Multiple surface integrated devices on low resistivity substrates
CN101359663B (zh) 多层电容器及其制造方法
US10574198B2 (en) Integrated circuit devices with selectively arranged through substrate vias and method of manufacture thereof
JP3578644B2 (ja) 半導体装置
JP2003526904A (ja) コンデンサ構造を有する半導体装置及びその製造方法
US8975725B2 (en) Bias circuit and method of manufacturing the same
JPH0963847A (ja) インダクタ素子及びその製造方法
TW201941228A (zh) 高頻電阻器與此高頻電阻器之製造方法
KR20090021572A (ko) 반도체 소자용 인덕터 및 그 제조 방법
KR100744464B1 (ko) 집적형 인덕터 및 그 제조방법
JP2013187352A (ja) 半導体装置およびその製造方法
TWI260771B (en) Monolithic bridge capacitor
JP2000323658A (ja) 高周波半導体装置
TW200306662A (en) Low-impedance decoupling device
KR20040070709A (ko) 반도체 금속 라인 제조 공정에서의 에어 갭 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: Texas in the United States

Applicant after: NXP America Co Ltd

Address before: Texas in the United States

Applicant before: Fisical Semiconductor Inc.

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant