CN103367119B - 用于双重图案化设计的掩模处理 - Google Patents

用于双重图案化设计的掩模处理 Download PDF

Info

Publication number
CN103367119B
CN103367119B CN201210270656.6A CN201210270656A CN103367119B CN 103367119 B CN103367119 B CN 103367119B CN 201210270656 A CN201210270656 A CN 201210270656A CN 103367119 B CN103367119 B CN 103367119B
Authority
CN
China
Prior art keywords
pattern
mask layer
sphering
mask
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210270656.6A
Other languages
English (en)
Other versions
CN103367119A (zh
Inventor
杨景峰
陈启平
陈殿豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103367119A publication Critical patent/CN103367119A/zh
Application granted granted Critical
Publication of CN103367119B publication Critical patent/CN103367119B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了一种形成半导体器件的方法及由此形成的产品。该方法包括采用例如双重图案化或者多重图案化技术在掩模层中形成图案。处理掩模以平滑或圆化尖边角。在其中在掩模中形成正图案的实施例中,处理可以包括等离子体工艺或者各向同性湿蚀刻。在其中在掩模中形成负图案的实施例中,处理可以包括在掩模图案上方形成共形层。共形层具有圆化尖边角的效果。可以采用其他技术平滑或者圆化掩模的边角。本发明提供了用于双重图案化设计的掩模处理。

Description

用于双重图案化设计的掩模处理
技术领域
一般来说,本发明涉及半导体制造方法,具体而言,涉及图案化中的掩模处理。
背景技术
双重图案化是开发用于光刻以提高部件密度的技术。通常,为了在晶圆上形成集成电路的部件,采用光刻技术,其涉及涂覆光刻胶并且在光刻胶上限定图案。首先在光刻掩模中限定经图案化的光刻胶中的图案,并且在光刻掩模中通过透明部分或者通过不透明部分来限定。然后将经图案化的光刻胶中的图案转印到下面的部件。
随着集成电路不断按比例缩小,光学邻近效应造成越来越严重的问题。当两个分开的部件相互之间太接近时,光学接近效应可能导致部件相互之间短路。为了解决这种问题,引入了双重图案化技术。将位置接近的部件分成两个掩模,这两个掩模用于使相同的光刻胶曝光。在每一个掩模中,部件之间的距离相对于其他单掩模中的部件之间的距离增大了,并因此降低或基本上消除光学邻近效应。
发明内容
一方面,本发明提供了一种形成半导体器件的方法,所述方法包括:在第一掩模层中形成第一图案;对所述第一掩模层实施平滑工艺,所述平滑工艺圆化所述第一掩模层的边角;以及采用所述第一掩模层作为掩模图案化下层。
在所述的方法中,形成所述第一掩模层包括:在第二掩模中形成第二图案;将所述第二图案转印到所述第一掩模层;在第三掩模中形成第三图案;以及将所述第三图案转印到所述第一掩模层,所述第一图案是所述第二图案与所述第三图案的组合。
在所述的方法中,所述平滑工艺包括各向同性干法等离子体蚀刻。
在所述的方法中,所述平滑工艺包括各向同性干法等离子体蚀刻,其中,所述各向同性干法等离子体蚀刻采用一种或多种惰性气体。
在所述的方法中,所述平滑工艺包括各向同性干法等离子体蚀刻,其中,所述各向同性干法等离子体蚀刻包括O2等离子体蚀刻、N2等离子体蚀刻、CO等离子体蚀刻、CO2等离子体蚀刻、N2/H2等离子体蚀刻或氩气等离子体蚀刻。
在所述的方法中,所述平滑工艺包括各向同性湿蚀刻。
在所述的方法中,所述平滑工艺包括沉积共形膜以及对所述共形膜实施各向异性蚀刻工艺。
另一方面,本发明提供了一种形成半导体器件的方法,所述方法包括:在掩模层中形成第一图案;在所述掩模层中形成第二图案,所述第一图案和所述第二图案的组合图案包括部分所述第一图案和部分所述第二图案之间的一个或多个边角;圆化所述一个或多个边角,从而产生圆化图案;以及将所述圆化图案转印到下层。
在所述的方法中,所述圆化包括各向同性干法等离子体蚀刻。
在所述的方法中,所述各向同性干法等离子体蚀刻采用一种或多种惰性气体。
在所述的方法中,所述圆化包括实施O2等离子体工艺、N2等离子体工艺、CO等离子体蚀刻、CO2等离子体蚀刻、N2/H2等离子体蚀刻或氩气等离子体工艺。
在所述的方法中,所述圆化包括各向同性湿蚀刻。
在所述的方法中,所述圆化包括各向同性湿蚀刻,其中,所述各向同性湿蚀刻包括稀氢氟酸。
在所述的方法中,所述圆化包括在所述组合图案上方沉积共形膜以及在所述沉积之后以各向异性方式蚀刻所述共形膜。
又一方面,本发明提供了一种形成半导体器件的方法,所述方法包括:提供具有上覆的第一掩模层的衬底;采用多次曝光在所述第一掩模层中形成图案,所述图案具有一个或多个尖边角;处理所述图案以圆化所述一个或多个尖边角,从而形成圆化图案;以及采用所述圆化图案作为掩模蚀刻所述衬底,所述圆化图案包括所述第一掩模层的剩余部分。
在所述的方法中,所述处理包括采用O2等离子体、N2等离子体、CO等离子体蚀刻、CO2等离子体蚀刻、N2/H2等离子体蚀刻或氩气等离子体的各向同性干法等离子体蚀刻。
在所述的方法中,所述处理包括湿蚀刻。
在所述的方法中,所述处理包括在所述图案旁边形成间隔件。
在所述的方法中,所述衬底包括第二掩模层和下面的材料层,所述蚀刻图案化所述第二掩模层,并且还包括采用所述第二掩模层的剩余部分作为掩模蚀刻所述下面的材料层。
在所述的方法中,所述形成图案包括采用第一光刻和蚀刻工艺将第一部分图案转印到所述第一掩模层,以及采用第二光刻和蚀刻工艺将第二部分图案转印到所述第一掩模层,所述一个或多个尖边角由所述第一部分图案和所述第二部分图案相交产生的。
附图说明
为了更充分地理解本发明实施例及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1a至图6b示出根据实施例的图案化下层的多个中间步骤的透视图和俯视图;
图7a和图7b示出根据实施例的圆化正图案的边角的工艺;
图8a和图8b示出根据实施例的圆化负图案的边角的工艺;以及
图9示出根据实施例的圆化边角。
具体实施方式
在下面详细论述本发明的实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的发明构思。所论述的具体实施例仅是说明制造和使用相应实施例的具体方式,而不用于限制本发明的范围。
提供了一种新型的双重图案化技术和由此得到的相应图案。各个实施例可以用于图案化任何相关结构,诸如图案化导电层(例如,多晶硅层)、介电层(例如,金属间介电(IMD)层中的金属化层)等。在本发明的所有各个附图和示例性实施例中,相似的参考标号用于表示相似的元件。
图1a至图6b示出根据实施例的器件制造中的多个透视图和俯视图。首先参照图1a和图1b,其中图1a是透视图,图1b是俯视图,示出了衬底102,其具有第一掩模层104和在其上方形成的第二掩模层106。在该实施例中,衬底102表示如下面更详细论述的待图案化的层。例如,在实施例中,衬底102可以包括待图案化以形成栅电极的多晶硅层。在另一实例中,衬底102可以包括位于半导体衬底上面的介电层,在该半导体衬底上形成有半导体器件(例如,晶体管、电容器、电阻器等)。随后,可以图案化介电层以形成金属化层、金属间介电(ILD)层中的接触件、IMD层中的通孔等。
如下面更详细论述的,对第一掩模层104和第二掩模层106进行图案化,并将其用于图案化下面的衬底102。在该实施例中,首先对第二掩模层106进行图案化,然后将其用于图案化下面的第一掩模层104。然后使用第一掩模层104来图案化下面的衬底102。以这种方式使用两个掩模层(例如,使用一个掩模层来图案化第二掩模层)实现了掩模的图案化而不可能会对待图案化的层(例如,该实施例中的衬底102)造成损伤。
第一掩模层104和第二掩模层106可以包括例如氧化物层、原硅酸四乙酯(TEOS)、碳掺杂的氧化物层、氮化物和/或类似物。可以对第一掩模层104和第二掩模层106的材料进行选择以在邻近的层之间保持高蚀刻选择性。例如,可以对第一掩模层104的材料进行选择以与下面的衬底102具有高蚀刻选择性,以及可以对第二掩模层106的材料进行选择以与第一掩模层104具有高蚀刻选择性。
例如,在衬底102包括待图案化的多晶硅层的实施例中,第一掩模层104可以包括氧化物层以及第二掩模层106可以包括氮化物层。氧化物层可以包括通过热氧化或者通过化学汽相沉积(CVD)技术采用TEOS和氧气作为前体形成的二氧化硅层。氮化物层可以包括在氧化物层顶部形成的氮化硅(Si3N4)层。可以采用CVD技术使用硅烷和氨作为前体气体形成Si3N4层。在另一实施例中,可以在衬底上方形成第一硬掩模(HM1)层,例如氧化物层,以及可以在HM1层上方形成第二硬掩模(HM2)层,例如非晶碳等。可以在HM2层上方形成第三硬掩模(HM3)层,诸如SiON、SiN等。可以使用底部抗反射涂层(BARC)和光刻胶材料来图案化掩模。
其他掩模材料可以用于形成第一掩模层104和第二掩模层106,诸如氮氧化硅SiOxNy、硅肟SiOxNy:Hz、PEOX、SiON、SiOC、TEOS或者它们的组合。应当注意示出两个掩模层(例如第一掩模层104和第二掩模层106)仅为了说明的目的。其他实施例可以利用更多或更少的掩模层。
现参照图2a和图2b,其中图2a是透视图,图2b是俯视图,根据实施例示出了在第二掩模层106上方形成且经过图案化的第三掩模层208。在实施例中,第三掩模层208包含光刻胶材料并且采用光刻技术进行图案化。通常,照射(曝光)光刻胶材料并对其进行显影以去除一部分光刻胶材料。剩余的光刻胶材料保护下面的材料不进行随后的加工步骤,诸如蚀刻。如上所述,采用单光刻工艺可以得到的图案受到限制。如下面所说明的,利用多个光刻工艺来图案第一掩模层104和第二掩模层106。
因此,图2a和图2b中示出的第三掩模层208示出在第三掩模208中形成第一开口210的第一光刻工艺。通过第三掩模208形成的图案随后将与如下面参照图3和图4论述的另一图案相结合。
图3a和图3b(其中图3a是透视图,图3b是俯视图)示出根据实施例的图案化第二掩模层106以及形成第四掩模层320。可以采用适用于特定材料和应用的任何技术来图案化第二掩模层106。例如,在第二掩模层106包含氮化硅材料的实施例中,可以采用在稀氢氟酸中湿浸来图案化第二掩模层106。可以例如通过一份浓(49%)氢氟酸(HF)和25份水(H2O)的混合物形成稀氢氟酸。
第四掩模层320可以是与第三掩模层208类似的另一光刻胶掩模。在图3a和图3b示出的实例中,图案化第四掩模层320以提供与图2a和图2b中示出的图案垂直且相交的开口322。应当注意到,第四掩模层层320覆盖第二掩模层106中形成的开口,从而在用第四掩模层320图案化期间保护经图案化的区域不进行进一步蚀刻。可以注意到,提供本发明的实例中得到的图案仅用于说明的目的并且可以使用其他图案,包括非垂直的图案。
之后,可以根据经图案化的第四掩模层320图案化第二掩模层106。图4a和图4b(其中图4a是透视图,图4b是俯视图)示出在去除第四掩模层320之后在第二掩模层106中形成的所得图案。如图所示,在第二掩模层106中得到的图案是第三掩模层208和第四掩模层320的图案的组合或者联合。得到的图案包括相对较尖的边角,诸如凸边角422和凹边角423。可以认为,由于至少部分地不能在显示出尖边角的区域中形成充分共形的层,这些相对较尖的边角导致间隙填充问题和可靠性问题。
图5a和图5b(其中图5a是透视图,图5b是俯视图)示出在实施平滑工艺之后的第二掩模层106。平滑工艺圆化第二掩模层104的尖边角,如通过圆凸边角524和圆凹边角525示出的。在这个工艺过程中图案的宽度可以略微增大。在实施例中,平滑工艺可以包括各向同性干法等离子体蚀刻工艺。例如,可以使用的O2气体等离子体包括在压力为约5mTorr到约200mTorr、功率为约100瓦到约1000瓦以及温度为约-10℃到约60℃的条件下O2流速为约5sccm到约300sccm。作为另一实例,可以使用在压力为约5mTorr到约500mTorr、功率为约100瓦到约1000瓦以及温度为约-10℃到约60℃的条件下N2流速为约10sccm到约1000sccm的N2气体等离子体。作为又一个实例,可以使用的氩气体等离子体包括在压力为约5mTorr到约500mTorr、功率为约100瓦到约1000瓦以及温度为约-10℃到约60℃的条件下氩气流速为约100sccm到约2000sccm。还可以使用其他类型的等离子体,诸如惰性气体等离子体、CO等离子体、CO2等离子体、N2/H2等离子体等。
在另一实施例中,可以采用各向同性湿蚀刻工艺。例如,在第二掩模层106包含氮化硅材料的实施例中,可以采用在稀氢氟酸中湿浸来图案化第二掩模层106。利用其他材料的其他实施例可以利用其他蚀刻剂。
之后,如图6a和图6b所示(其中图6a是透视图,图6b是俯视图),可以将第二掩模层106中形成的图案(具有圆边角524、525)转印到第一掩模层104。然后可以将图案转印到下层,诸如衬底102。
可以使用具有正图案或负图案的实施例。例如,图7a和图7b示出可以采用与上述类似的“正”图案实现边角圆化。首先参照图7a,示出了掩模层742(诸如第二掩模层106)中的组合图案,其中由第一虚线744示出第一图案以及由第二虚线746示出第二图案。去除由组合图案限定的区域内的掩模层形成掩模层742中的尖凸边角748。
图7b示出在边角圆化工艺(诸如如上所述的等离子体工艺或湿蚀刻工艺)之后的组合图案。边角圆化工艺形成圆边角750(比较图7b的圆边角750和图7a的尖边角748)。
相反,图8a和图8b示出一种形成具有“负”图案的掩模的方法。应当注意到,图7a和图7b示出掩模层中的孔,而图8a和图8b示出掩模层的剩余部分。诸如图7a和图7b中示出的实施例可以用于将在层中形成沟槽的镶嵌工艺中。图8a和图8b可以用于对层进行图案化的工艺中,诸如图案化多晶硅层以形成栅电极。
现参照图8a,示出了掩模层842(诸如第二掩模层106)中的组合图案,其中由第一虚线844示出第一图案以及由第二虚线846示出第二图案。在该实施例中,保留由图案的组合限定的掩模层的区域,形成具有尖凹边角848的图案。
图8a还示出根据实施例的平滑工艺。在实施例中,平滑工艺包括在经图案化的掩模层842上方沉积共形掩模层以及实施各向异性蚀刻工艺,从而在经图案化的掩模层842旁边形成间隔件850。组合的经图案化的掩模旁边的间隔件显示出圆边角852。例如,共形层可以包含二氧化硅、氮化硅、氮氧化硅SiOxNy、SiOC、SiCN、硅肟SiOxNy:Hz、PEOX、SiON、碳掺杂的氧化物、它们的组合等。之后可以实施诸如各向异性干蚀刻工艺的蚀刻工艺以形成间隔件850。
图9示出根据实施例的边角圆化。在实施例中,边角圆化可以以相对于图案宽度P的半径表示。如图9所示,可以使用图案宽度的约四分之一到约十六分之一的半径,但是可以使用其他半径。可以认为,诸如这些的半径提供后续形成的层的更均匀的覆盖范围。可以通过改变诸如时间、温度、压力、气流量等工艺参数来调整曲率的量。
可以认为诸如上面论述的实施例将减少或阻止可能由双重图案化技术或者与双重图案化技术相关的问题造成的尖边角。例如,一种双重图案化技术被称为拼接。拼接涉及采用多重覆盖图案以产生单个形状。当单独的图案形成相交线时得到的图案产生尖边角。此外,如果图案之间发生偏移例如覆盖偏移,得到的图案可能包括其他尖边角。
在实施例中,提供了一种形成半导体器件的方法。该方法包括在第一掩模层中形成第一图案,对掩模层实施平滑工艺以圆化第一掩模层的边角,以及采用第一掩模层作为掩模来图案化下层。
在另一实施例中,提供了形成半导体器件的另一种方法。该方法包括在掩模层中形成第一图案以及在掩模层中形成第二图案,使得第一图案和第二图案的组合图案包括部分第一图案和部分第二图案之间的一个或多个边角。该方法还包括圆化一个或多个边角以形成圆化图案以及将圆化图案转印到下层。
在又一实施例中,提供了形成半导体器件的另一种方法。该方法包括提供具有上覆的第一掩模层的衬底以及采用多次曝光在第一掩模层中形成图案,该图案具有一个或多个尖边角。处理该图案以圆化一个或多个尖边角,从而形成圆化图案,以及采用圆化图案作为掩模蚀刻衬底,圆化图案包括第一掩模层的剩余部分。
尽管已经详细地描述了本发明的实施例及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变、替换和更改。例如,不同类型的材料和工艺可以发生改变而仍保留在本发明的范围内。
此外,本申请的范围并不仅限于说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明实施例的公开内容将很容易理解,根据本发明可以利用现有的或今后开发的用于执行与根据本文所述相应实施例基本上相同的功能或获得基本上相同结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求应该在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。

Claims (18)

1.一种形成半导体器件的方法,所述方法包括:
在衬底上方直接形成第一掩模层,并且所述第一掩模层与所述衬底直接接触;
在所述第一掩模层中形成第一图案,其中,形成所述第一图案包括:
在第二掩模中形成第二图案;
将所述第二图案转印到所述第一掩模层;
在第三掩模中形成第三图案;和
将所述第三图案转印到所述第一掩模层而保持所述第二图案不变,所述第一图案是所述第二图案与所述第三图案的组合;
对所述第一掩模层实施平滑工艺,其中,所述平滑工艺圆化所述第一掩模层俯视时的所述第一图案的边角,所述边角是所述第二图案的一端与所述第三图案的一端的交角;以及
在完成所述平滑工艺之后,采用所述第一掩模层作为掩模直接图案化所述衬底,以将被圆化的所述边角的图案直接转印到所述衬底。
2.根据权利要求1所述的方法,其中,所述平滑工艺包括各向同性干法等离子体蚀刻。
3.根据权利要求2所述的方法,其中,所述各向同性干法等离子体蚀刻采用一种或多种惰性气体。
4.根据权利要求2所述的方法,其中,所述各向同性干法等离子体蚀刻包括O2等离子体蚀刻、N2等离子体蚀刻、CO等离子体蚀刻、CO2等离子体蚀刻、N2/H2等离子体蚀刻或氩气等离子体蚀刻。
5.根据权利要求1所述的方法,其中,所述平滑工艺包括各向同性湿蚀刻。
6.根据权利要求1所述的方法,其中,所述平滑工艺包括沉积共形膜以及对所述共形膜实施各向异性蚀刻工艺。
7.一种形成半导体器件的方法,所述方法包括:
在衬底上方形成掩模层,所述掩模层接触所述衬底;
在所述掩模层中形成第一图案;
在所述掩模层中形成第二图案而保持所述第一图案不变,所述第一图案和所述第二图案的组合图案包括部分所述第一图案的一端与部分所述第二图案的一端相交形成的一个或多个边角,其中,所述一个或多个边角为所述掩模层俯视时的边角;
圆化所述一个或多个边角,从而产生圆化图案;以及
在完成所述圆化之后,将所述圆化图案直接转印到所述衬底。
8.根据权利要求7所述的方法,其中,所述圆化包括各向同性干法等离子体蚀刻。
9.根据权利要求8所述的方法,其中,所述各向同性干法等离子体蚀刻采用一种或多种惰性气体。
10.根据权利要求7所述的方法,其中,所述圆化包括实施O2等离子体工艺、N2等离子体工艺、CO等离子体蚀刻、CO2等离子体蚀刻、N2/H2等离子体蚀刻或氩气等离子体工艺。
11.根据权利要求7所述的方法,其中,所述圆化包括各向同性湿蚀刻。
12.根据权利要求11所述的方法,其中,所述各向同性湿蚀刻包括稀氢氟酸。
13.根据权利要求7所述的方法,其中,所述圆化包括在所述组合图案上方沉积共形膜以及在所述沉积之后以各向异性方式蚀刻所述共形膜。
14.一种形成半导体器件的方法,所述方法包括:
提供具有上覆的第一掩模层的衬底;
采用多次曝光在所述第一掩模层中形成图案,所述图案具有一个或多个尖边角,其中,所述一个或多个尖边角为所述第一掩模层俯视时的尖边角;
处理所述图案以圆化所述一个或多个尖边角,从而形成圆化图案;以及
在完成所述处理之后,采用所述圆化图案作为掩模直接蚀刻所述衬底以将所述圆化图案直接转印到所述衬底,所述圆化图案包括所述第一掩模层的剩余部分,
其中,所述形成图案包括采用第一光刻和蚀刻工艺将第一部分图案转印到所述第一掩模层,然后采用第二光刻和蚀刻工艺将第二部分图案转印到所述第一掩模层而保持所述第一部分图案不变,所述一个或多个尖边角由所述第一部分图案的一端和所述第二部分图案的一端相交产生的。
15.根据权利要求14所述的方法,其中,所述处理包括采用O2等离子体、N2等离子体、CO等离子体蚀刻、CO2等离子体蚀刻、N2/H2等离子体蚀刻或氩气等离子体的各向同性干法等离子体蚀刻。
16.根据权利要求14所述的方法,其中,所述处理包括湿蚀刻。
17.根据权利要求14所述的方法,其中,所述处理包括在所述图案旁边形成间隔件。
18.根据权利要求14所述的方法,其中,所述衬底包括第二掩模层和下面的材料层,蚀刻所述衬底包括图案化所述第二掩模层,并且还包括采用所述第二掩模层的剩余部分作为掩模蚀刻所述下面的材料层。
CN201210270656.6A 2012-03-29 2012-07-31 用于双重图案化设计的掩模处理 Active CN103367119B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/434,366 US9257279B2 (en) 2012-03-29 2012-03-29 Mask treatment for double patterning design
US13/434,366 2012-03-29

Publications (2)

Publication Number Publication Date
CN103367119A CN103367119A (zh) 2013-10-23
CN103367119B true CN103367119B (zh) 2018-01-05

Family

ID=49235586

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210270656.6A Active CN103367119B (zh) 2012-03-29 2012-07-31 用于双重图案化设计的掩模处理

Country Status (2)

Country Link
US (1) US9257279B2 (zh)
CN (1) CN103367119B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9406511B2 (en) 2014-07-10 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
CN105990105B (zh) * 2015-01-27 2019-01-08 中芯国际集成电路制造(上海)有限公司 硬掩膜层的制作方法、层间介质层的制作方法及半导体器件
US9972526B2 (en) * 2016-07-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4645562A (en) * 1985-04-29 1987-02-24 Hughes Aircraft Company Double layer photoresist technique for side-wall profile control in plasma etching processes
US5160408A (en) * 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
JP3835435B2 (ja) * 2003-06-04 2006-10-18 住友電気工業株式会社 電界効果トランジスタの製造方法
JP4579611B2 (ja) * 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US20080048340A1 (en) * 2006-03-06 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7998874B2 (en) * 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7846789B2 (en) * 2007-10-16 2010-12-07 Texas Instruments Incorporated Isolation trench with rounded corners for BiCMOS process
JP2010028029A (ja) * 2008-07-24 2010-02-04 Renesas Technology Corp 半導体装置および半導体装置の製造方法
KR20100079157A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 소자의 mim 캐패시터 형성 방법 및 그에 따른 mim 캐패시터
US7846756B2 (en) * 2008-12-31 2010-12-07 Sandisk 3D Llc Nanoimprint enhanced resist spacer patterning method
US8580585B2 (en) * 2009-12-18 2013-11-12 Micrel, Inc. Method and system for controlled isotropic etching on a plurality of etch systems
KR101093561B1 (ko) * 2010-01-15 2011-12-14 주식회사 하이닉스반도체 홀 패턴 제조 방법

Also Published As

Publication number Publication date
CN103367119A (zh) 2013-10-23
US9257279B2 (en) 2016-02-09
US20130260563A1 (en) 2013-10-03

Similar Documents

Publication Publication Date Title
CN104658892B (zh) 用于集成电路图案化的方法
TWI389202B (zh) 半導體裝置之製造方法、半導體裝置之製造裝置、控制程式及程式記憶媒體
CN104733291B (zh) 用于集成电路图案化的方法
CN105336571B (zh) 自对准多重图形掩膜的形成方法
KR101322112B1 (ko) 마스크 패턴의 형성 방법
CN106206436A (zh) 用于金属栅极的方法和结构
US6936383B2 (en) Method of defining the dimensions of circuit elements by using spacer deposition techniques
CN109427578A (zh) 半导体结构及其形成方法
JP2009071306A (ja) 半導体素子の微細パターン形成方法
CN103367119B (zh) 用于双重图案化设计的掩模处理
CN110739212A (zh) 硬掩膜的制备方法及半导体器件的制造方法
TW200531147A (en) Method for manufacturing semiconductor device
TW201943109A (zh) 蝕刻磁穿隧接面結構的方法
CN108257910B (zh) 浅沟槽隔离沟槽的制作方法
KR20120096903A (ko) 패턴 형성 방법 및 반도체 장치
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
JP5164446B2 (ja) 半導体素子の微細パターン形成方法
CN101866876B (zh) 接触孔的制作工艺
JP3585039B2 (ja) ホール形成方法
CN109037040B (zh) 提高双大马士革刻蚀次沟槽工艺窗口的方法
JP2009152586A (ja) 半導体装置の製造方法
US6291329B1 (en) Protective oxide buffer layer for ARC removal
CN105990111B (zh) 半导体器件及其制作方法
KR20220082981A (ko) 반도체 소자 제조 방법
CN104157600A (zh) 浅沟槽结构的制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant