CN103155090B - 使用多阶离子植入将图案化光阻改质的方法及其系统 - Google Patents

使用多阶离子植入将图案化光阻改质的方法及其系统 Download PDF

Info

Publication number
CN103155090B
CN103155090B CN201180047008.8A CN201180047008A CN103155090B CN 103155090 B CN103155090 B CN 103155090B CN 201180047008 A CN201180047008 A CN 201180047008A CN 103155090 B CN103155090 B CN 103155090B
Authority
CN
China
Prior art keywords
ion dose
inclination angle
ion
substrate
patterning photoresistance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180047008.8A
Other languages
English (en)
Other versions
CN103155090A (zh
Inventor
卢多维克·葛特
派崔克·M·马汀
约瑟·C·欧尔森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN103155090A publication Critical patent/CN103155090A/zh
Application granted granted Critical
Publication of CN103155090B publication Critical patent/CN103155090B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31796Problems associated with lithography affecting resists

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)

Abstract

一种使用多阶离子植入将图案化光阻改质的方法及其系统。使多个图案化光阻特征的粗糙度轮廓减少的方法包括以下步骤。每个图案化光阻特征包括第一侧壁和位于第一侧壁对面的第二侧壁,其中每个图案化光阻特征包括中频线宽粗糙度和低频线宽粗糙度。执行多个离子照射周期,其中每个离子照射周期包括:以约为五度或大于五度的倾斜角提供离子到第一侧壁;以及以约为五度或大于五度的倾斜角提供离子到第二侧壁。藉由执行多个离子照射周期,中频线宽粗糙度和低频线宽粗糙度减少。

Description

使用多阶离子植入将图案化光阻改质的方法及其系统
技术领域
本发明涉及半导体元件制造领域,尤其涉及一种使基板图案化(patterning)以及对基板进行植入(implanting)以便制造半导体元件的方法、系统以及结构。
背景技术
随着电子设备的不断小型化,对能够获得高解析度的图案化制程的需求日益增加。缩放比例(Scaling)(印刷越来越小的特征的能力)能使所需设计具备每个晶圆(wafer)的多个小而复杂的晶片(chips)的特性。可惜的是,微影(lithography)制程设备的局限性不再满足元件缩放要求。细微特征成像(finefeatureimaging)需注意以下两个主要方面:第一是称为线边缘粗糙度(LineEdgeRoughness,LER)或线宽粗糙度(LineWidthRoughness,LWR)的负面特性;以及第二是因绕射极限(diffractionlimits)而欠缺印刷缩小型几何尺寸(tightgeometry)的能力。在蚀刻(etch)步骤(图案转移)期间,由PR造成的线边缘粗糙度被转移到正在被蚀刻的材料上。线边缘粗糙度通常会造成电晶体或测试期间参数稳定性方面的元件劣化。图案化制程会形成非常粗糙的线边缘,而不是光滑而轮廓鲜明(welldefined)的光阻图像。根据设计,短、中、长距离粗糙度都会对元件性能带来很大影响。既然微影法无法独自形成光滑的线,则必须发展后续步骤来消除或减少线边缘粗糙度。为了解决这一问题,已尝试过几种额外的制程,但收效甚微。举例而言,干式化学蚀刻(drychemicaletch)制程有能力移除光阻图像的材料,但它们会受到不同暴露区域疏密偏差(isolatedtodensebiases)所带来的与图案有关的负载效应(loadingeffects)的影响。
此外,光阻(resist)关键尺寸(criticaldimension,CD)通常要求限定在严格限度(tighttolerance)内,如此一来,任何辅助技术都应当能够使光阻保持原有的轮廓、高度以及关键尺寸特性。干式化学蚀刻系统也会给图案带来不想要的缺陷,这会造成产量损失(yieldloss)。另一种可选的方法是使用深紫外线(DeepUltraviolet,DUV)固化(cure),在此方法中,粗糙的光阻图案被暴露在以灯为主的平台下,以便藉由辐射照射(radiationexposure)来加热光阻,这样能使线条变得光滑。此技术的缺点在于,照射后,各线段(linesegments)的角落呈现图案后拉(pullback),且光阻线会发生变形,致使后来形成的元件无法使用。此外,上文所述的方法中没有一种方法被观察到能够减少低频粗糙度,而低频粗糙度对于元件(尤其是那些关键尺寸较小(例如,关键尺寸小于100nm)的元件)的劣化可能起着重大作用。因此,值得注意的是,有必要对光阻图案化制程进行改良以便适用于需要很小的特征尺寸的技术(诸如关键尺寸小于100nm的元件)。
发明内容
本发明的实施例的目的是使基板图案化的方法及其系统。一实施例包括一种能够使多个图案化光阻特征的粗糙度轮廓(roughnessprofile)减少的方法,其中每个图案化光阻特征包括第一侧壁和位于第一侧壁对面的第二侧壁。此方法包括执行多个离子照射周期,以减少图案化光阻特征的粗糙度轮廓。每个离子照射周期包括:以约为五度或大于五度的倾斜角提供离子到第一侧壁;以及以约为五度或大于五度的倾斜角提供离子到第二侧壁。
另一实施例关于一种使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中每个图案化光阻特征包括第一侧壁以及位于第一侧壁对面的第二侧壁。此方法包括藉由以下步骤来提供总离子剂量给多个图案化光阻特征:在第一次照射中,基板的第一表面以相对于基板法线大于约五度的第一倾斜角受到第一离子剂量的照射,其中第一离子剂量撞击在多个图案化光阻特征的第一侧壁上。在第二次照射中,基板的第一表面以相对于基板法线大于约五度的第二倾斜角受到第二离子剂量的照射,其中第二离子剂量撞击在多个图案化光阻特征的第二侧壁上。在第三次照射中,基板的第一表面以相对于基板法线大于约五度的第三倾斜角受到第三离子剂量的照射,其中第三离子剂量撞击在多个图案化光阻特征的第一侧壁上;第四次照射时,基板的第一表面以相对于基板法线大于约五度的第四倾斜角受到第四离子剂量的照射,其中第四离子剂量撞击在多个图案化光阻特征的第二侧壁上,其中总照射剂量等于第一离子剂量、第二离子剂量、第三离子剂量以及第四离子剂量的总和。
另一实施例包括一种使配置在基板上的图案化光阻特征的粗糙度减少的系统,其中每个图案化光阻特征具有第一侧壁和位于第一侧壁对面的第二侧壁。此系统包括离子源,可用来提供前往基板的离子束。此系统也包括基板台(stage),其经配置以相对于离子束而提供一组相对运动,包括扭动和倾斜移动。此系统还包括处理器和用来储存离子照射参数的存储器(memory),其中离子照射参数包括下列参数中的一个或多个:一组倾斜角、一组扭转角、一组离子能量以及一组离子剂量。此系统也包括电脑可读程式,其配合存储器和处理器来进行操作,以便发送控制信号给离子源和基板台,以执行多个离子照射周期。在每个离子照射周期内,基板台相对于离子束来定向(oriented),以便以约为五度或大于五度的倾斜角来交替地照射第一侧壁和第二侧壁,从而减少与图案化光阻特征有关的线宽粗糙度轮廓。
附图说明
图1是一种离子植入系统的示意图。
图2a包括图案化光阻的平面示意图,其显示了本发明的一般特征。
图2b包括图案化光阻的横截面示意图,其显示了本发明的一般特征。
图2c是光阻线宽粗糙度分量的示意图。
图3a至图3f是光阻结构的横截面示意图,其显示了一种示范性多阶离子植入制程的各步骤。
图4a至图4e显示了另一种示范性多阶离子植入制程。
图5a至图5e显示了又一种示范性多阶离子植入制程。
图6是一种多阶离子植入制程的细节图。
图7是执行了图6的多阶离子植入制程的光阻样品的LWR及LER测量结果图。
图8是在接受图6的多阶离子植入制程的离子照射之前和之后,线宽粗糙度的能谱分布曲线图。
具体实施方式
下面将配合所附图式来详细描述本发明,本发明的较佳实施例显示在这些图式中。然而,本发明可体现为许多不同的形态,而不应限于本文所列举的实施例。确切地说,提供这些实施例是为了使揭示的内容更透彻更完整,且将本发明的范围全部传递给熟悉本领域的技术人员。在这些图式中,相同的元件符号代表相同的元件。
为了解决上文所述的方法的不足,本文揭示了改善图案化光阻特征的粗糙度的创新技术。本发明的实施例是有关于提供离子给具有多个图案化光阻特征的图案化光阻。图案化光阻特征受到一系列的离子剂量(照射)的处理,其中图案化光阻特征的每个侧壁受到多次离子束照射(剂量),这些离子束照射是来自相对于基板以非法线角(non-normalangle)而入射的离子束。如此一来,图案化光阻特征的线边缘粗糙度、线宽粗糙度以及中长规模粗糙度得以改善,下文将做详细阐述。
根据本发明的较佳组态,在一种离子植入系统中,离子被提供给基板。请参照图式,图1是一种离子植入机的方块图,其显示了可用于本发明的实施例的离子植入机的一般特征。系统100包括离子源室102。电源101供应所需的电能至离子源室102,离子源室102经配置以产生特定物种的离子。所产生的离子透过一系列电极(electrodes)104(萃取电极(extractionelectrodes))而从离子源中被提取出来,且形成穿过质量分析磁铁(massanalyzermagnet)106的射束95。此质量分析器经配置以具有特定的磁场,使得只有具有所需质荷比(mass-to-chargeratio)的离子才能够穿过此分析器。所需物种的离子穿过减速台108而到达校正磁铁110。供能至校正磁铁110,使得离子射束元(beamlets)根据所施加的磁场的强度和方向来偏转,从而使射束前往至放置在支撑物(例如,平台)114上的工件或基板。在一些情形下,可在校正磁铁110与支撑物114之间配置第二减速台112。当离子与基板中的电子及原子核相撞时,离子会损失能量,并且根据加速度能量而停留在基板内的所需深度处。
依照本发明的各实施例,基板可附着在可移动平台上,平台经配置以相对于射束(如射束95)而提供一组相对运动。这可包括平移(translationalmotion)、扭动以及倾斜移动。植入系统100包括倾斜平台,其用来使基板相对于入射射束而倾斜(例如,相对于基板的法线呈约-75度至+75度夹角)。
图2a与图2b显示为本发明的一种方法的一般特征。图2a与图2b中包括配置在基板200上的一种传统的图案化光阻202的示意图,其分别以平面图和横截面图来显示。此图案化光阻包括多条线204,这些线204是在对一层光阻执行了微影制程后所形成。如图所示,沿着每条线204的长度L,在‘x’方向上测定的线宽是变化的。使用已知的微影制程,线宽粗糙度可占标称(nominal)线宽的一大部分,尤其是在关键尺寸小于约100nm的光阻图案中。光阻线204可具有标称关键尺寸(W),图中所示的这些线具有相同的标称关键尺寸。容易为熟悉本领域的技术人员所理解的是,若光阻线具有很大的线宽粗糙度,则相邻的光阻线会因为此线宽粗糙度而具有明显不同的实际宽度。然而,为了便于阐述以及为了清楚起见,相邻的线204被显示成尺寸相似。
除了线宽粗糙度外,光阻的“粗糙度轮廓”还可包括线边缘粗糙度,还有短、中、长距离线宽粗糙度变化,其参数对应于沿着线宽发生变化的方向L而呈现的不同长度规模。除了线宽粗糙度或线边缘粗糙度的绝对值外,发生这种变化的长度规模也是元件制造的关键之处。举例而言,对于以光阻线作为图案的元件,光阻线的长距离粗糙度对元件性能的影响可能不同于短距离粗糙度。
图2c显示为如何从线204中取得低频粗糙度分量(204a)、中频粗糙度分量(204b)以及高频粗糙度分量(204c)。这些分量对应于长距离粗糙度变化、中距离粗糙度变化以及短距离粗糙度变化。
根据本发明的各实施例,图案化光阻(如光阻202)受到一系列离子剂量(照射)的处理,在一系列的照射后使得光阻粗糙度轮廓得以改善。粗糙度轮廓的改善(或减少)表示下列参数之一或多者减少:线宽粗糙度、线边缘粗糙度以及短、中或长距离粗糙度变化。本发明的发明人发现,藉由控制与离子照射有关的参数,线宽粗糙度的改善可得以优化。其中,这些参数可包括离子入射角、离子能量、离子类型、总离子剂量以及一系列离子照射的具体顺序,下文将做详细描述。
依照本发明,提供给基板200的离子可相对于法线N以非零倾斜角θ入射到基板平面上,如图2b所显示的离子束218、220、222及224。在下文所述的本发明的实施例中,基板(如基板200)可在一系列照射周期中受到离子束的处理。每个照射周期包括离子束形成正倾斜角时的照射和离子束形成负倾斜角时的照射。每个照射周期中所用的交替倾斜角可介于约+/-5度至约+/-85度的范围内。由于离子束相对于法线以非零倾斜角而入射,所以离子可撞击图案化光阻特征的侧壁,从而更有效地冲击图案化光阻特征的粗糙度。
如图2a所示,扭转角φ也可变化(如离子束212、214及216),其较佳是介于约零度与约+/-15度之间。对于晶态硅晶圆的离子植入,扭转角通常定义为包含离子束和晶圆法线的平面与垂直于主平面的平面之间所形成的夹角,其沿着<100>硅的[011]方向而排列。然而,如图2a所示,本文所用的术语“扭转角”是指包含离子束和晶圆法线的平面与垂直于图案化光阻特征的长轴L的平面P之间所形成的夹角。因此,零度扭转角表示离子束在垂直于光阻线的长轴的平面内,而与位于下方的晶圆的方向无关。
图3a至图3e是光阻图案的横截面示意图,其阐述了依照本发明的一种制程所涉及的示范性步骤。举例而言,这些图形可表示对应于图2a、图2b的光阻样品202的横截面。
图3a显示为包括一对图案化光阻特征304的基板302,它是在使用微影制程进行图案化后呈现出来的。光阻线304可具有标称关键尺寸(W),图中显示的两条光阻线具有相同的标称关键尺寸。这两条光阻线也可具有标称高度h,且其特点在于标称间距(pitch)D。熟悉本领域的技术人员容易理解的是,对于呈现出明显的线宽粗糙度(LWR)的光阻线图案,相邻的光阻线会因为此线宽变化而具有明显不同的实际宽度。然而,为了清楚起见,相邻的光阻线304显示为具有相似的尺寸。
图3b至图3e显示为一种示范性多阶离子植入制程,在此制程中,光阻线304受到离子束312的照射。在此示范性制程中,在每个步骤中,离子束312可具有相同的离子物种和相同的离子能量。然而,在其他实例中,不同照射步骤中的离子物种和能量可不同。离子能量可以约为几百电子伏特(eV)或更大。具体而言,离子能量可介于约1千电子伏(KeV)至约20KeV的范围内。在图3b中,使第一离子剂量的离子束312以第一非零倾斜角前往基板302,使得光阻线上表面304c和左侧壁304a受到离子流的照射,而右侧壁304b则实质上未受到离子的照射。图3b的照射步骤的持续时间可变化,但通常约为一秒或大于一秒。在被离子束312照射期间,离子会撞击侧壁304a,这会导致局部重新排列(rearrangement)、软化、材料移除或者其他能使侧壁304b变得更平滑的效应。
在接受了第一离子剂量后,此方法进入图3c的步骤,在此步骤中使第二离子剂量以第二非零倾斜角前往基板302。在一实施例中,离子能量与图3b的步骤中所用的离子能量相同,第二剂量与第一剂量相同,且第二非零倾斜角与第一非零倾斜角具有相等数值,但方向与第一非零倾斜角相反。举例而言,相对于法线,第一倾斜角为+30度,而第二倾斜角为-30度。在此步骤中,左侧壁304a实质上未受到离子照射,而上表面304c和侧壁304b则被离子撞击,这可导致局部重新排列、软化、材料移除或者其他会使侧壁304b变得更平滑的效应。
在接受了第二离子剂量后,基板可受到第三离子剂量的照射,如图3d的步骤所述。第三剂量可与第一剂量相同,也可以是较大或较小的剂量。在一实例中,第三离子剂量的离子束312以第一非零倾斜角前往基板302,使得光阻线上表面304c和左侧壁304a以与图3c的步骤相似的角度受到撞击。在此步骤中,侧壁304a会再次经历局部重新排列、软化、材料移除或者其他导致侧壁304b的粗糙度继续减少的效应。
在接受了第三离子剂量后,基板可受到第四离子剂量的照射,如图3e的步骤所述。第四剂量可与第二剂量相同,也可以是较大或较小的剂量。在一实例中,第四离子剂量的离子束312以第二非零倾斜角前往基板302,使得光阻线上表面304c和右侧壁304b以与图3c的步骤相似的角度受到撞击。在此步骤中,侧壁304b可再次经历局部重新排列、软化、材料移除或者其他导致侧壁304b的粗糙度继续减少的效应。
依照本发明,图3b至图3e所示的步骤的全部或一部分可被重复执行一次或多次。
有利的是,图3b至图3e所示的方法可以有效的方式来减少光阻线304的粗糙度,而不会产生实质上不想要的副作用。本方法并非提供一次性离子剂量(例如,每个侧壁304a、304b被植入一次性离子剂量),而是提供多次离子剂量给每个侧壁。具体而言,本发明的方法将要提供给侧壁的总离子剂量分成一系列照射(剂量),每次照射包括总剂量的一部分。如此一来,对于给定的总离子剂量,本创新方法能最小化光阻线因总离子剂量的一次性照射所发生的过度变形、加热、分解及/或熔化。
在图3b至图3e所大致描述的本发明的实施例中,光阻线的相对两侧(也就是,左侧壁304a和右侧壁304b)交替地受到离子束312的一系列照射。如此一来,每个侧壁304a、304b在连续两次受到离子束312的照射之间享有一段“空闲”(idle)期,而离子照射制程则在对面的侧壁上继续执行。因此,给定的照射周期的特点是:第一次照射,在此期间,第一侧壁被离子轰击(bombarded),而第二(对面的)侧壁呈空闲状态;然后执行第二次照射,在此期间,第二侧壁被轰击,而第一侧壁呈空闲状态。第一次照射与第二次照射之间的时滞(timelapse)可变化,但可实质上小于照射持续时间。因此,就每个侧壁而言,总离子剂量可分多个步骤施加在每个侧壁上,时间跨度(timespan)不显著超出以一次性步骤将总剂量施加在每个侧壁上所需的时间跨度。
值得注意的是,在一个照射周期内从正倾斜角变成负倾斜角可以一种以上的方式来执行。举例而言,沿着斜轴来转动基板,或者将基板转过180度扭转角而不改变倾斜角,都可达到此目的。请再参照图2b、图3b及图3c,倾斜角θ被赋予正值还是负值的惯例可基于离子流拦截(intercepts)哪个侧壁。在图3c的实例中,当离子流撞击在右侧壁(其可对应于侧壁304b)上时,可赋予正值,而负倾斜角则对应于离子流312拦截侧壁304a。
如图3f所示,既可产生负夹角(图3b)又可产生正夹角(图3c),而不用改变射束相对于基板平面的相对取向。换言之,图3b与图3c中的视图可对应于图3f所示的一般几何图形,在图3f中,离子束的位置不变,且肉眼可见的晶圆倾斜不变。然而,藉由将晶圆转过180度扭转角,相对倾斜角θ便从正变为负。因此,在本发明的一实例中,图3b与图3c所示的视图可代表具有零度扭转角的晶圆的“前”视图和具有180度扭转角的同一晶圆(参见图2a)的“后”视图。
图4a至图4e显示为另一种示范性多阶离子植入制程,在此制程中,光阻线304受到离子束412的照射。此制程可以与图3b至图3e的示范性方法一样的方式执行,其中一系列离子剂量被交替地施加在左侧壁304a与右侧壁304b上。与图3a至图3e的方法相比,图4b至图4e的各步骤以较大的倾斜角来提供离子束412。藉由提供较大的倾斜角,可改善给定离子剂量的效果。举例而言,一个阵列(array)内的多个光阻线304可接收更多前往侧壁顶部的离子流。这种情形在图4b中显示为侧壁304a1,各别侧壁的顶部(在图4a中显示为区域U)接收来自离子束412的离子流,但底部(在图4a中显示为区域L)不接收来自离子束412的离子流。此外,离子束412的大倾斜角对侧壁304a、304b所产生的影响可能不同于图3b至图3e的离子束312。
图5a至图5e显示为另一种示范性多阶离子植入制程,在此制程中光阻线304受到离子束512的照射。在图5b、图5c所示的各步骤中,制程以类似于图3b、图3c所示的步骤执行。换言之,可先使离子束512以第一离子剂量和第一非零倾斜角前往侧壁304a,然后以第二剂量和第二非零倾斜角对侧壁304b执行相似的步骤。举例而言,相对于法线,第一倾斜角为+30度,而第二倾斜角为-30度。
在图5d、图5e所示的步骤中,制程以类似于图4b、图4c所示的步骤执行,也就是说,侧壁304a和侧壁304b以高于图3b、图3c所示的倾斜角而受到离子的照射。在此情形下,第三离子剂量和第四离子剂量可分别以+45度的第三夹角和-45度的第四夹角来提供。
因此,当最后的步骤结束后,每个侧壁304a、304b接收到30度植入剂量和45度植入剂量。藉由以30度和45度角分别进行照射,此示范性方法可以相加或协同的方式兼有不同照射角度的优点。
在可选实施例中,图5d与图5e所示的步骤可在图5b与图5c所示的步骤前执行。在涉及多阶离子植入制程且各步骤所用的离子入射角不同的示范性方法中,可规划离子照射的顺序,以便达成线粗糙度的最佳化减少。选择恰当的照射顺序可基于诸如初始光阻轮廓等要素、线宽以及其他要素。举例而言,如图5b至图5e所示,使侧壁先以低倾斜角接受离子照射再以高倾斜角接受离子照射会更加有效。
关于图3a至图5e所通常显示的示范性方法,在一些实施例中,基板可保持零度扭转角。然而,在其他实施例中,扭转角可以是非零角。举例而言,在本发明的一种方法中,可先以+45度倾斜角、+15度扭转角来提供第一离子剂量的离子束312,然后以-45度倾斜角、+15度倾斜角来提供第二离子剂量的离子束312。此方法可继续以+45度倾斜角和-15度扭转角来提供第三离子剂量,然后以-45度倾斜角和-15度扭转角来提供离子剂量。离子照射步骤的许多其他组合方式也是可以的,在这些组合中,每个光阻线侧壁分多次离子照射来接受总离子剂量。
已使用4KeV氩离子束来研究关于不同的制程顺序对线粗糙度所产生的影响,如图6、图7所示。图6是离子剂量和倾斜角以几种不同配方来使用的图形,每种配方提供5E15/cm2的总剂量。第一种配方(“BL+/-45”)包括:第一步,以+45度角提供2.5E15/cm2的离子剂量;以及第二步,以-45度角提供2.5E15/cm2的离子剂量。根据第二种配方(“BL宽双峰”,”BLwidebimodal”),第一步以+45度角提供1.0E15/cm2的离子剂量,第二步以-45度角提供1.0E15/cm2的离子剂量,第三步以+35度角提供1.0E15/cm2的离子剂量,第四步以-35度角提供1.0E15/cm2的离子剂量,第五步以+25度角提供0.5E15/cm2的离子剂量,以及第六步以-25度角提供0.5E15/cm2的离子剂量。在光阻图案按每种配方接受照射期间,光阻线经定向以使离子束能够以+45度倾斜角照射光阻线的第一侧,且以-45度倾斜角照射光阻线的相对侧,如本说明书的图3a至图5e所示。
图7与图8显示为对实施了图6的上述离子照射配方的45-47nm宽的光阻线进行粗糙度轮廓测量的结果。图7与图8也呈现了对光阻样品实施第三种配方(“BL多阶+/-45”)的结果,其中第三种配方包括全部以45度倾斜角来执行的多阶照射:第一步以+45度角提供1E15/cm2的离子剂量,第二步以-45度角提供1E15/cm2的离子剂量,第三步以+45度角提供1E15/cm2的离子剂量,第四步以-45度角提供1E15/cm2的离子剂量,第五步以+45度角提供0.5E15/cm2的离子剂量,以及第六步以-45度角提供0.5E15/cm2的离子剂量。
与对照样品相比,每种配方都能减少光阻粗糙度。特别地,“BL多阶”配方与“BL宽双峰”配方为粗糙度轮廓带来实质上的改良。本文所用的与粗糙度轮廓有关的术语“实质上的改良”表示线边缘粗糙度及/或线宽粗糙度减少约5%或大于5%,及/或中频范围、低频范围或中频范围与低频范围的平均功率密度谱(averagepowerdensityspectrum)信号减少约10%或大于10%。当使用第一种配方(每个侧壁以45度倾斜角一次性地接收2.5E15/cm2的离子剂量)时,线宽粗糙度与线边缘粗糙度分别减少13%和7%。相比之下,使用“BL多阶”配方后,线宽粗糙度与线边缘粗糙度均减少45%,而使用“BL宽双峰”配方后,线宽粗糙度和线边缘粗糙度分别减少37%和35%。
图8显示为使用图7所示的同一套配方来执行离子照射之前(作为参考)与之后的线宽粗糙度的能谱分布。离子流照射可使高频((100-1000)/um)粗糙度略微减少,而使用所有的三种配方可使中频((10-100)/um)粗糙度信号显著减少。然而,低频((1-10)/um)粗糙度只有使用“BL多阶”配方和“BL宽双峰”配方后才能明显减少,这两种配方均是对每个光阻侧壁进行多次离子照射。在进行“BL多阶”和“BL宽双峰”这两种离子处理后,平均低频PSD强度能够减少50%左右。
因此,5E15/cm2的氩离子剂量,当分成多次照射提供给光阻线的每个侧壁时,就减少光阻线粗糙度轮廓而言,比提供一次性剂量给每个侧壁有效得多,这已透过线宽粗糙度、线边缘粗糙度以及能谱测量得到了证实。
本发明也包括其他多阶植入配方。举例而言,“平分布”(flatdistribution)可包括按照以下顺序以多个倾斜角来施加相同剂量(如5E14/cm2)的一系列步骤:+5度、-5度、+15度、-15度、+25度、-25度、+35度、-35度、+45度以及-45度。
请再参照图2c,本发明提供一种适用于窄图案化光阻特征的改良式光阻罩幕(mask)结构。此光阻罩幕结构是在窄图案化光阻特征被植入总离子剂量后所形成,其中总离子剂量是在大于约5E14/cm2的范围内,且较佳是在1E15/cm2~10E15/cm2的范围内。本发明的光阻罩幕结构的特点在于较长长度规模粗糙度特征和中等长度规模粗糙度特征显著减少,但这些粗糙度特征会存在于藉由微影法形成且未进行本发明所述的制程的光阻罩幕结构中。现有技术也无法形成这种具有减少的长规模粗糙度的光阻罩幕结构。
概括而言,本发明提供用来减少图案化光阻的粗糙度的方法与系统,其以非零倾斜角来提供总离子剂量,使得离子能够撞击光阻侧壁,从而使图案化光阻的粗糙度减少。总离子剂量被分成一次以上(多阶)的照射。使用此多阶照射法,本发明提供一组参数,可调节这组参数来达成线粗糙度的最佳化减少,从而导致此类光阻系统中用来形成光阻图案的微影制程的品质的多个要素(如光阻图案几何图形变化)以及其他要素。这些要素均需对多个参数(诸如倾斜角、扭转角、每个光阻侧壁接受离子束照射的次数、照射顺序以及其他参数)进行不同的组合。
本说明书揭示了一种改善图案化光阻的粗糙度的创新技术。本文所述的具体实施例并非对本发明的范围进行限定。实际上,根据上文的描述和附图,熟悉本领域的技术人员除了能够了解本文所述的实施例外,也应当了解本发明的其他各个实施例以及对本发明的改良。举例而言,上文所述的本发明的各实施例通常是针对使用氩离子进行轰击,但本发明也可使用其他离子物种来实施。因此,这类其他实施例以及改良应视为属于本发明的范围。此外,本文是针对特定的环境、特定的目的以特定的实施方式来描述本发明,但熟悉本领域的技术人员容易理解的是,其效用并不限于此,本发明可在任何环境下为了任何目的以有益的方式来实施。因此,本文所述的发明的完整范围和精神当视后附的申请专利范围所界定者为准。

Claims (15)

1.一种使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中每个图案化光阻特征包括第一侧壁以及位于所述第一侧壁对面的第二侧壁,所述方法包括:
按照以下步骤将总离子剂量提供给所述多个图案化光阻特征:
在第一次照射中,对所述基板的所述第一表面以相对于基板法线大于五度的第一倾斜角以及第一离子剂量进行照射,其中所述第一离子剂量撞击在所述多个图案化光阻特征的所述第一侧壁上;
在第二次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第二倾斜角以及第二离子剂量进行照射,其中所述第二离子剂量撞击在所述多个图案化光阻特征的所述第二侧壁上;
在第三次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第三倾斜角以及第三离子剂量进行照射,其中所述第三离子剂量撞击在所述多个图案化光阻特征的所述第一侧壁上;
在第四次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第四倾斜角以及第四离子剂量进行照射,其中所述第四离子剂量撞击在所述多个图案化光阻特征的所述第二侧壁上,
在第五次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第五倾斜角以及第五离子剂量进行照射,其中所述第五离子剂量撞击在所述多个图案化光阻特征的所述第一侧壁上;以及
在第六次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第六倾斜角以及第六离子剂量进行照射,其中所述第六离子剂量撞击在所述多个图案化光阻特征的所述第二侧壁上,其中总照射剂量等于所述第一离子剂量、所述第二离子剂量、所述第三离子剂量、所述第四离子剂量、所述第五离子剂量以及所述第六离子剂量的总和,其中所述第一倾斜角与所述第二倾斜角大小相等,所述第三倾斜角与所述第四倾斜角大小相等,且所述第五倾斜角与所述第六倾斜角大小相等,其中所述第一倾斜角大于所述第三倾斜角,所述第三倾斜角大于所述第五倾斜角。
2.根据权利要求1所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述第一离子剂量与所述第二离子剂量相等,且所述第三离子剂量与所述第四离子剂量相等。
3.根据权利要求1所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述第一倾斜角与所述第三倾斜角不同。
4.根据权利要求2所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述第一离子剂量大于所述第三离子剂量。
5.根据权利要求1所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述总离子剂量介于5E14/cm2与2.0E16/cm2之间。
6.根据权利要求1所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述总离子剂量包括从氦、氖、氩、氪、氙以及氡所组成的群组中选出的离子剂量。
7.根据权利要求1所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述总照射剂量以相同的离子能量被所述图案化光阻特征接收。
8.根据权利要求1所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,还包括:在相邻的两次照射之间,以一个扭转角旋转所述基板。
9.一种使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中每个图案化光阻特征包括第一侧壁以及位于所述第一侧壁对面的第二侧壁,所述方法包括:
按照以下步骤将总离子剂量提供给所述多个图案化光阻特征:
在第一次照射中,对所述基板的所述第一表面以相对于基板法线大于五度的第一倾斜角以及第一离子剂量进行照射,其中所述第一离子剂量撞击在所述多个图案化光阻特征的所述第一侧壁上;
在第二次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第二倾斜角以及第二离子剂量进行照射,其中所述第二离子剂量撞击在所述多个图案化光阻特征的所述第二侧壁上;
在第三次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第三倾斜角以及第三离子剂量进行照射,其中所述第三离子剂量撞击在所述多个图案化光阻特征的所述第一侧壁上;
在第四次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第四倾斜角以及第四离子剂量进行照射,其中所述第四离子剂量撞击在所述多个图案化光阻特征的所述第二侧壁上,
在第五次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第五倾斜角以及第五离子剂量进行照射,其中所述第五离子剂量撞击在所述多个图案化光阻特征的所述第一侧壁上;以及
在第六次照射中,对所述基板的所述第一表面以相对于所述基板法线大于五度的第六倾斜角以及第六离子剂量进行照射,其中所述第六离子剂量撞击在所述多个图案化光阻特征的所述第二侧壁上,其中总照射剂量等于所述第一离子剂量、所述第二离子剂量、所述第三离子剂量、所述第四离子剂量、所述第五离子剂量以及所述第六离子剂量的总和,其中所述第一倾斜角、所述第二倾斜角、所述第三倾斜角、所述第四倾斜角、所述第五倾斜角以及所述第六倾斜角大小相等,所述第五离子剂量以及所述第六离子剂量都小于所述第一离子剂量、所述第二离子剂量、所述第三离子剂量以及所述第四离子剂量。
10.根据权利要求9所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述第一离子剂量与所述第二离子剂量相等,且所述第三离子剂量与所述第四离子剂量相等。
11.根据权利要求10所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述第一离子剂量大于所述第三离子剂量。
12.根据权利要求9所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述总离子剂量介于5E14/cm2与2.0E16/cm2之间。
13.根据权利要求9所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述总离子剂量包括从氦、氖、氩、氪、氙以及氡所组成的群组中选出的离子剂量。
14.根据权利要求9所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,其中所述总照射剂量以相同的离子能量被所述图案化光阻特征接收。
15.根据权利要求9所述的使基板的第一表面上所提供的多个图案化光阻特征的粗糙度轮廓减少的方法,还包括:在相邻的两次照射之间,以一个扭转角旋转所述基板。
CN201180047008.8A 2010-10-01 2011-09-28 使用多阶离子植入将图案化光阻改质的方法及其系统 Active CN103155090B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/896,046 US8133804B1 (en) 2010-10-01 2010-10-01 Method and system for modifying patterned photoresist using multi-step ion implantation
US12/896,046 2010-10-01
PCT/US2011/053666 WO2012044677A1 (en) 2010-10-01 2011-09-28 Method and system for modifying patterned photoresist using multi-step ion implantion

Publications (2)

Publication Number Publication Date
CN103155090A CN103155090A (zh) 2013-06-12
CN103155090B true CN103155090B (zh) 2016-02-24

Family

ID=44906363

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180047008.8A Active CN103155090B (zh) 2010-10-01 2011-09-28 使用多阶离子植入将图案化光阻改质的方法及其系统

Country Status (6)

Country Link
US (1) US8133804B1 (zh)
JP (1) JP5858496B2 (zh)
KR (1) KR101872708B1 (zh)
CN (1) CN103155090B (zh)
TW (1) TWI520181B (zh)
WO (1) WO2012044677A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974683B2 (en) * 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
KR101654661B1 (ko) * 2012-06-29 2016-09-07 캐논 아네르바 가부시키가이샤 이온빔 처리 방법 및 이온빔 처리 장치
CN104345568A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(上海)有限公司 减小光刻胶图形线宽粗糙度的方法
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9512517B2 (en) 2015-01-23 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Multiple exposure treatment for processing a patterning feature
CN106298929B (zh) * 2015-06-12 2019-11-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
CN105632981A (zh) * 2016-03-19 2016-06-01 复旦大学 一种利用热处理减小微电子器件表面粗糙度的仪器
CN105789044A (zh) * 2016-03-19 2016-07-20 复旦大学 一种利用热处理减小微电子器件表面粗糙度的方法
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10310379B2 (en) * 2017-01-13 2019-06-04 Varian Semiconductor Equipment Associates, Inc. Multiple patterning approach using ion implantation
US10147584B2 (en) * 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US10818473B2 (en) 2018-08-14 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Implanter calibration
US20230095456A1 (en) * 2020-03-30 2023-03-30 Hitachi High-Tech Corporation Charged particle beam apparatus and method for calculating roughness index
US11635695B2 (en) * 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing line-end space in integrated circuit patterning
CN111755326A (zh) * 2020-06-29 2020-10-09 西安微电子技术研究所 一种解决7度角注入工艺中硅衬底起皮缺陷的方法
US20220102139A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multiple step directional patterning
US11854818B2 (en) * 2021-05-04 2023-12-26 Applied Materials, Inc. Angled etch for surface smoothing
US20230335401A1 (en) * 2022-04-14 2023-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Ion implantation method for reducing roughness of patterned resist lines

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100440450C (zh) * 2003-09-30 2008-12-03 日本航空电子工业株式会社 固体表面平坦化方法及其装置
CN101563759A (zh) * 2006-10-30 2009-10-21 日本航空电子工业株式会社 利用气体团簇离子束的固体表面加工方法
US20100096566A1 (en) * 2008-10-20 2010-04-22 Robert Bristol Reducing Line Edge Roughness by Particle Beam Exposure

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100272159B1 (ko) * 1993-11-24 2000-11-15 윤종용 대칭적 이온 주입 방법
JP2000235969A (ja) * 1999-02-15 2000-08-29 Sony Corp 半導体装置の製造方法
JP4060659B2 (ja) * 2002-07-24 2008-03-12 株式会社東芝 パターン形成方法、及び基板処理装置
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
JP3963846B2 (ja) * 2003-01-30 2007-08-22 東京エレクトロン株式会社 熱的処理方法および熱的処理装置
JP4213533B2 (ja) * 2003-07-17 2009-01-21 富士通株式会社 スリミング製造方法およびスリミングシステム
US7291563B2 (en) * 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100440450C (zh) * 2003-09-30 2008-12-03 日本航空电子工业株式会社 固体表面平坦化方法及其装置
CN101563759A (zh) * 2006-10-30 2009-10-21 日本航空电子工业株式会社 利用气体团簇离子束的固体表面加工方法
US20100096566A1 (en) * 2008-10-20 2010-04-22 Robert Bristol Reducing Line Edge Roughness by Particle Beam Exposure

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Sidewall polishing with a gas cluster ion beam for photonic device applications;Bourelle;《Nuclear instruments & methods in physics research》;20051201;第241卷(第1-4期);622-625 *

Also Published As

Publication number Publication date
US20120083136A1 (en) 2012-04-05
KR101872708B1 (ko) 2018-06-29
JP5858496B2 (ja) 2016-02-10
TWI520181B (zh) 2016-02-01
KR20130138786A (ko) 2013-12-19
TW201222640A (en) 2012-06-01
JP2013541845A (ja) 2013-11-14
WO2012044677A1 (en) 2012-04-05
US8133804B1 (en) 2012-03-13
CN103155090A (zh) 2013-06-12

Similar Documents

Publication Publication Date Title
CN103155090B (zh) 使用多阶离子植入将图案化光阻改质的方法及其系统
JP5728566B2 (ja) イオン注入を用いて基板のパターン化特徴を変更するための方法及びシステム
TWI544292B (zh) 減少光阻特徵的表面粗糙度的方法以及調整圖案化光阻特徵的粗糙度的方法
KR101911330B1 (ko) 레지스트 특징부들의 임계 치수 및 러프니스를 제어하기 위한 방법 및 시스템
KR101662028B1 (ko) 기판의 패터닝 방법 및 시스템
TWI604508B (zh) 用於處理圖案特徵的多重曝光處理
JP2006324630A (ja) 半導体素子の製造のためのイオン注入方法及びこれを用いた傾斜型接合形成方法
KR20130124149A (ko) 이온 주입을 사용하는 기판 패턴화된 특징부들의 수정 방법 및 시스템
US9885957B2 (en) Ion-assisted deposition and implantation of photoresist to improve line edge roughness
US7728312B2 (en) Apparatus and method for partial ion implantation
Current Perspectives on low-energy ion (and neutral) implantation
US9520290B1 (en) Ion implantation for improved etch performance
JP2003282411A (ja) リソグラフィー用のダイヤモンドウェハ、マスクブランクス及びマスク並びにダイヤモンドウェハの製造方法
US20240194540A1 (en) Two step implant to improve line edge roughness and line width roughness
US20240194541A1 (en) Two step implant to control tip-to-tip distance between trenches
Lo et al. Studies of 1 and 2 keV electron beam lithography using silicon containing P (SI–CMS) resist
WO2024123519A1 (en) Two step implant to improve line edge roughness and line width roughness
JPH06101422B2 (ja) レジストパタ−ン形成方法
JPH0254526A (ja) 半導体装置の製造方法
Menzel et al. Preparation of stopping masks for the P-LIGA technique with focused ion beams
Kenty et al. Electron beam fabrication of high resolution masks
JPH0319334A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant