TWI520181B - 使用多階離子植入將圖案化光阻改質的方法及其系統 - Google Patents

使用多階離子植入將圖案化光阻改質的方法及其系統 Download PDF

Info

Publication number
TWI520181B
TWI520181B TW100135281A TW100135281A TWI520181B TW I520181 B TWI520181 B TW I520181B TW 100135281 A TW100135281 A TW 100135281A TW 100135281 A TW100135281 A TW 100135281A TW I520181 B TWI520181 B TW I520181B
Authority
TW
Taiwan
Prior art keywords
substrate
ion
angle
tilt angle
patterned photoresist
Prior art date
Application number
TW100135281A
Other languages
English (en)
Other versions
TW201222640A (en
Inventor
盧多維克 葛特
約瑟C 歐爾森
派崔克M 馬汀
Original Assignee
瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瓦里安半導體設備公司 filed Critical 瓦里安半導體設備公司
Publication of TW201222640A publication Critical patent/TW201222640A/zh
Application granted granted Critical
Publication of TWI520181B publication Critical patent/TWI520181B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31796Problems associated with lithography affecting resists

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)

Description

使用多階離子植入將圖案化光阻改質的方法及其系統
本發明是有關於半導體元件製造領域,且特別是有關於一種使基板圖案化(patterning)以及對基板進行植入(implanting)以便製造半導體元件的方法、系統以及結構。
隨著電子設備的不斷小型化,對能夠獲得高解析度的圖案化製程的需求日益增加。縮放比例(Scaling)(印刷越來越小的特徵的能力)能使所需設計具備每個晶圓(wafer)的多個小而複雜的晶片(chips)的特性。可惜的是,微影(lithography)製程設備的局限性不再滿足元件縮放要求。細微特徵成像(fine feature imaging)需注意以下兩個主要方面:第一是稱為線邊緣粗糙度(Line Edge Roughness,LER)或線寬粗糙度(Line Width Roughness,LWR)的負面特性;以及第二是因繞射極限(diffraction limits)而欠缺印刷縮小型幾何尺寸(tight geometry)的能力。在蝕刻(etch)步驟(圖案轉移)期間,由PR造成的線邊緣粗糙度被轉移到正在被蝕刻的材料上。線邊緣粗糙度通常會造成電晶體或測試期間參數穩定性方面的元件劣化。圖案化製程會形成非常粗糙的線邊緣,而不是光滑而輪廓鮮明(well defined)的光阻圖像。根據設計,短、中、長距離粗糙度都會對元件性能帶來很大影響。既然微影法無法獨自形成光滑的線,則必須發展後續步驟來消除或減少線邊緣粗糙度。為了解決這一問題,已嘗試過幾種額外 的製程,但收效甚微。舉例而言,乾式化學蝕刻(dry chemical etch)製程有能力移除光阻圖像的材料,但它們會受到不同暴露區域疏密偏差(isolated to dense biases)所帶來的與圖案有關的負載效應(loading effects)的影響。
此外,光阻(resist)關鍵尺寸(critical dimension,CD)通常要求限定在嚴格限度(tight tolerance)內,如此一來,任何輔助技術都應當能夠使光阻保持原有的輪廓、高度以及關鍵尺寸特性。乾式化學蝕刻系統也會給圖案帶來不想要的缺陷,這會造成產量損失(yield loss)。另一種可選的方法是使用深紫外線(Deep Ultraviolet,DUV)固化(cure),在此方法中,粗糙的光阻圖案被暴露在以燈為主的平臺下,以便藉由輻射照射(radiation exposure)來加熱光阻,這樣能使線條變得光滑。此技術的缺點在於,照射後,各線段(line segments)的角落呈現圖案後拉(pull back),且光阻線會發生變形,致使後來形成的元件無法使用。此外,上文所述的方法中沒有一種方法被觀察到能夠減少低頻粗糙度,而低頻粗糙度對於元件(尤其是那些關鍵尺寸較小(例如,關鍵尺寸小於100nm)的元件)的劣化可能起著重大作用。因此,值得注意的是,有必要對光阻圖案化製程進行改良以便適用於需要很小的特徵尺寸的技術(諸如關鍵尺寸小於100nm的元件)。
本發明之實施例的目的是使基板圖案化的方法及其系統。一實施例包括一種能夠使多個圖案化光阻特徵的粗 糙度輪廓(roughness profile)減少的方法,其中每個圖案化光阻特徵包括第一側壁和位於第一側壁對面的第二側壁。此方法包括執行多個離子照射週期,以減少光阻特徵的粗糙度輪廓。每個離子照射週期包括:以約為五度或大於五度的傾斜角提供離子到第一側壁;以及以約為五度或大於五度的傾斜角提供離子到第二側壁。
另一實施例關於一種使基板的第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中每個圖案化光阻特徵包括第一側壁以及位於第一側壁對面的第二側壁。此方法包括藉由以下步驟來提供總離子劑量給多個圖案化光阻特徵:在第一次照射中,基板的第一表面以相對於基板法線大於約五度的第一傾斜角受到第一離子劑量的照射,其中第一離子劑量撞擊在多個光阻特徵的第一側壁上。在第二次照射中,基板的第一表面以相對於基板法線大於約五度的第二傾斜角受到第二離子劑量的照射,其中第二離子劑量撞擊在多個光阻特徵的第二側壁上。在第三次照射中,基板的第一表面以相對於基板法線大於約五度的第三傾斜角受到第三離子劑量的照射,其中第三離子劑量撞擊在多個光阻特徵的第一側壁上;第四次照射時,基板的第一表面以相對於基板法線大於約五度的第四傾斜角受到第四離子劑量的照射,其中第四離子劑量撞擊在多個光阻特徵的第二側壁上,其中總照射劑量等於第一離子劑量、第二離子劑量、第三離子劑量以及第四離子劑量之總和。
另一實施例包括一種使配置在基板上的圖案化光阻特徵的粗糙度減少的系統,其中每個光阻特徵具有第一側壁和位於第一側壁對面的第二側壁。此系統包括離子源,可用來提供前往基板的離子束。此系統也包括基板台(stage),其經配置以相對於離子束而提供一組相對運動,包括扭動和傾斜移動。此系統更包括處理器和用來儲存離子照射參數的記憶體(memory),其中離子照射參數包括下列參數中的一個或多個:一組傾斜角、一組扭轉角、一組離子能量以及一組離子劑量。此系統也包括電腦可讀程式,其配合記憶體和處理器來進行操作,以便發送控制信號給離子源和基板台,以執行多個離子照射週期。在每個離子照射週期內,基板台相對於離子束來定向(oriented),以便以約為五度或大於五度的傾斜角來交替地照射第一側壁和第二側壁,從而減少與圖案化光阻特徵有關的線寬粗糙度輪廓。
下面將配合所附圖式來詳細描述本發明,本發明的較佳實施例繪示在這些圖式中。然而,本發明可體現為許多不同的形態,而不應限於本文所列舉的實施例。確切地說,提供這些實施例是為了使揭露的內容更透徹更完整,且將本發明的範圍全部傳遞給熟悉本領域的技術人員。在這些圖式中,相同的元件符號代表相同的元件。
為了解決上文所述的方法的不足,本文揭露了改善圖案化光阻特徵之粗糙度的創新技術。本發明之實施例是有 關於提供離子給具有多個光阻特徵的圖案化光阻。光阻特徵受到一系列的離子劑量(照射)的處理,其中光阻特徵的每個側壁受到多次離子束照射(劑量),這些離子束照射是來自相對於基板以非法線角(non-normal angle)而入射的離子束。如此一來,圖案化光阻特徵的線邊緣粗糙度、線寬粗糙度以及中長規模粗糙度得以改善,下文將做詳細闡述。
根據本發明的較佳組態,在一種離子植入系統中,離子被提供給基板。請參照圖式,圖1是一種離子植入機的方塊圖,其繪示了可用於本發明之實施例的離子植入機的一般特徵。系統100包括離子源室102。電源101供應所需的電能至離子源室102,離子源室102經配置以產生特定物種之離子。所產生的離子透過一系列電極(electrodes)104(萃取電極(extraction electrodes))而從離子源中被提取出來,且形成穿過質量分析磁鐵(mass analyzer magnet)106的射束95。此質量分析器經配置以具有特定的磁場,使得只有具有所需質荷比(mass-to-charge ratio)的離子才能夠穿過此分析器。所需物種的離子穿過減速台108而到達校正磁鐵110。供能至校正磁鐵110,使得離子射束元(beamlets)根據所施加的磁場的強度和方向來偏轉,從而使射束前往至放置在支撐物(例如,平臺)114上的工件或基板。在一些情形下,可在校正磁鐵110與支撐物114之間配置第二減速台112。當離子與基板中的電子及原子核相撞時,離子會損失能量,並且根據加速度能 量而停留在基板內的所需深度處。
依照本發明的各實施例,基板可附著在可移動平臺上,平臺經配置以相對於射束(如射束95)而提供一組相對運動。這可包括平移(translational motion)、扭動以及傾斜移動。植入系統100包括傾斜平臺,其用來使基板相對於入射射束而傾斜(例如,相對於基板的法線呈約-75度至+75度夾角)。
圖2a與圖2b繪示為本發明之一種方法的一般特徵。圖2a與圖2b中包括配置在基板200上的一種傳統的圖案化光阻202的示意圖,其分別以平面圖和橫截面圖來繪示。此圖案化光阻包括多條線204,這些線204是在對一層光阻執行了微影製程後所形成。如圖所示,沿著每條線204的長度L,在‘x’方向上測定的線寬是變化的。使用已知的微影製程,線寬粗糙度可占標稱(nominal)線寬的一大部分,尤其是在關鍵尺寸小於約100nm的光阻圖案中。光阻線204可具有標稱關鍵尺寸(W),圖中所示的這些線具有相同的標稱關鍵尺寸。容易為熟悉本領域的技術人員所理解的是,若光阻線具有很大的線寬粗糙度,則相鄰的光阻線會因為此線寬粗糙度而具有明顯不同的實際寬度。然而,為了便於闡述以及為了清楚起見,相鄰的線204被繪示成尺寸相似。
除了線寬粗糙度外,光阻的“粗糙度輪廓”還可包括線邊緣粗糙度,還有短、中、長距離線寬粗糙度變化,其參數對應於沿著線寬發生變化的方向L而呈現的不同長度 規模。除了線寬粗糙度或線邊緣粗糙度的絕對值外,發生這種變化的長度規模也是元件製造的關鍵之處。舉例而言,對於以光阻線作為圖案的元件,光阻線的長距離粗糙度對元件性能的影響可能不同於短距離粗糙度。
圖2c繪示為如何從線204中取得低頻粗糙度分量(204a)、中頻粗糙度分量(204b)以及高頻粗糙度分量(204c)。這些分量對應於長距離粗糙度變化、中距離粗糙度變化以及短距離粗糙度變化。
根據本發明之各實施例,圖案化光阻(如光阻202)受到一系列離子劑量(照射)的處理,在一系列的照射後使得光阻粗糙度輪廓得以改善。粗糙度輪廓的改善(或減少)表示下列參數之一或多者減少:線寬粗糙度、線邊緣粗糙度以及短、中或長距離粗糙度變化。本發明的發明人發現,藉由控制與離子照射有關的參數,線寬粗糙度的改善可得以優化。其中,這些參數可包括離子入射角、離子能量、離子類型、總離子劑量以及一系列離子照射的具體順序,下文將做詳細描述。
依照本發明,提供給基板200的離子可相對於法線N以非零傾斜角θ入射到基板平面上,如圖2b所繪示的離子束218、220、222及224。在下文所述的本發明之實施例中,基板(如基板200)可在一系列照射週期中受到離子束的處理。每個照射週期包括離子束形成正傾斜角時的照射和離子束形成負傾斜角時的照射。每個照射週期中所用的交替傾斜角可介於約+/-5度至約+/-85度的範圍內。由於 離子束相對於法線以非零傾斜角而入射,所以離子可撞擊光阻特徵的側壁,從而更有效地衝擊光阻特徵的粗糙度。
如圖2a所示,扭轉角φ也可變化(如離子束212、214及216),其較佳是介於約零度與約+/-15度之間。對於晶態矽晶圓的離子植入,扭轉角通常定義為包含離子束和晶圓法線的平面與垂直於主平面的平面之間所形成的夾角,其沿著<100>矽的[011]方向而排列。然而,如圖2a所示,本文所用的術語“扭轉角”是指包含離子束和晶圓法線的平面與垂直於圖案化光阻特徵之長軸L的平面P之間所形成的夾角。因此,零度扭轉角表示離子束在垂直於光阻線之長軸的平面內,而與位於下方的晶圓的方向無關。
圖3a至圖3e是光阻圖案的橫截面示意圖,其闡述了依照本發明的一種製程所涉及的示範性步驟。舉例而言,這些圖形可表示對應於圖2a、圖2b之光阻樣品202的橫截面。
圖3a繪示為包括一對光阻特徵304的基板302,它是在使用微影製程進行圖案化後呈現出來的。光阻線304可具有標稱關鍵尺寸(W),圖中繪示的兩條光阻線具有相同的標稱關鍵尺寸。這兩條光阻線也可具有標稱高度h,且其特點在於標稱間距(pitch)D。熟悉本領域的技術人員容易理解的是,對於呈現出明顯的線寬粗糙度(LWR)的光阻線圖案,相鄰的光阻線會因為此線寬變化而具有明顯不同的實際寬度。然而,為了清楚起見,相鄰的光阻線304繪示為具有相似的尺寸。
圖3b至圖3e繪示為一種示範性多階離子植入製程,在此製程中,光阻線304受到離子束312的照射。在此示範性製程中,在每個步驟中,離子束312可具有相同的離子物種和相同的離子能量。然而,在其他實例中,不同照射步驟中的離子物種和能量可不同。離子能量可以約為幾百電子伏特(eV)或更大。具體而言,離子能量可介於約1千電子伏(KeV)至約20KeV的範圍內。在圖3b中,使第一離子劑量的離子束312以第一非零傾斜角前往基板302,使得光阻線上表面304c和左側壁304a受到離子流的照射,而右側壁304b則實質上未受到離子的照射。圖3b之照射步驟的持續時間可變化,但通常約為一秒或大於一秒。在被離子束312照射期間,離子會撞擊側壁304a,這會導致局部重新排列(rearrangement)、軟化、材料移除或者其他能使側壁304b變得更平滑的效應。
在接受了第一離子劑量後,此方法進入圖3c之步驟,在此步驟中使第二離子劑量以第二非零傾斜角前往基板302。在一實施例中,離子能量與圖3b之步驟中所用的離子能量相同,第二劑量與第一劑量相同,且第二非零傾斜角相對於基板法線所形成的夾角與第一非零傾斜角具有相等數值,但方向與第一非零傾斜角相反。舉例而言,第一傾斜角相對於法線為+30度,而第二傾斜角相對於法線為-30度。在此步驟中,左側壁304a實質上未受到離子照射,而上表面304c和側壁304b則被離子撞擊,這可導致局部重新排列、軟化、材料移除或者其他會使側壁304b變得更 平滑的效應。
在接受了第二離子劑量後,基板可受到第三離子劑量的照射,如圖3d之步驟所述。第三劑量可與第一劑量相同,也可以是較大或較小的劑量。在一實例中,第三離子劑量的離子束312以第一非零傾斜角前往基板302,使得光阻線上表面304c和左側壁304a以與圖3c之步驟相似的角度受到撞擊。在此步驟中,側壁304a會再次經歷局部重新排列、軟化、材料移除或者其他導致側壁304b之粗糙度繼續減少的效應。
在接受了第三離子劑量後,基板可受到第四離子劑量的照射,如圖3e之步驟所述。第四劑量可與第二劑量相同,也可以是較大或較小的劑量。在一實例中,第四離子劑量的離子束312以第二非零傾斜角前往基板302,使得光阻線上表面304c和右側壁304b以與圖3c之步驟相似的角度受到撞擊。在此步驟中,側壁304b可再次經歷局部重新排列、軟化、材料移除或者其他導致側壁304b之粗糙度繼續減少的效應。
依照本發明,圖3b至圖3e所示之步驟的全部或一部分可被重複執行一次或多次。
有利的是,圖3b至圖3e所示之方法可以有效的方式來減少光阻線304的粗糙度,而不會產生實質上不想要的副作用。本方法並非提供一次性離子劑量(例如,每個側壁304a、304b被植入一次性離子劑量),而是提供多次離子劑量給每個側壁。具體而言,本發明之方法將要提供給 側壁的總離子劑量分成一系列照射(劑量),每次照射包括總劑量的一部分。如此一來,對於給定的總離子劑量,本創新方法能最小化光阻線因總離子劑量的一次性照射所發生的過度變形、加熱、分解及/或熔化。
在圖3b至圖3e所大致描述的本發明之實施例中,光阻線的相對兩側(也就是,左側壁304a和右側壁304b)交替地受到離子束312的一系列照射。如此一來,每個側壁304a、304b在連續兩次受到離子束312的照射之間享有一段“空閒”(idle)期,而離子照射製程則在對面的側壁上繼續執行。因此,給定的照射週期的特點是:第一次照射,在此期間,第一側壁被離子轟擊(bombarded),而第二(對面的)側壁呈空閒狀態;然後執行第二次照射,在此期間,第二側壁被轟擊,而第一側壁呈空閒狀態。第一次照射與第二次照射之間的時滯(time lapse)可變化,但可實質上小於照射持續時間。因此,就每個側壁而言,總離子劑量可分多個步驟施加在每個側壁上,時間跨度(time span)不顯著超出以一次性步驟將總劑量施加在每個側壁上所需的時間跨度。
值得注意的是,在一個照射週期內從正傾斜角變成負傾斜角可以一種以上的方式來執行。舉例而言,沿著斜軸來轉動基板,或者將基板轉過180度扭轉角而不改變傾斜角,都可達到此目的。請再參照圖2b、圖3b及圖3c,傾斜角θ被賦予正值還是負值的慣例可基於離子流攔截(intercepts)哪個側壁。在圖3c之實例中,當離子流撞擊 在右側壁(其可對應於側壁304b)上時,可賦予正值,而負傾斜角則對應於離子流312攔截側壁304a。
如圖3f所示,既可產生負夾角(圖3b)又可產生正夾角(圖3c),而不用改變射束相對於基板平面的相對取向。換言之,圖3b與圖3c中的視圖可對應於圖3f所示的一般幾何圖形,在圖3f中,離子束的位置不變,且肉眼可見的晶圓傾斜不變。然而,藉由將晶圓轉過180度扭轉角,相對傾斜角θ便從正變為負。因此,在本發明的一實例中,圖3b與圖3c所示之視圖可代表具有零度扭轉角的晶圓的“前”視圖和具有180度扭轉角的同一晶圓(參見圖2a)的“後”視圖。
圖4a至圖4e繪示為另一種示範性多階離子植入製程,在此製程中,光阻線304受到離子束412的照射。此製程可以與圖3b至圖3e之示範性方法一樣的方式執行,其中一系列離子劑量被交替地施加在左側壁304a與右側壁304b上。與圖3a至圖3e之方法相比,圖4b至圖4e之各步驟以較大的傾斜角來提供離子束412。藉由提供較大的傾斜角,可改善給定離子劑量的效果。舉例而言,一個陣列(array)內的多個光阻線304可接收更多前往側壁頂部的離子流。這種情形在圖4b中繪示為側壁304a1,各別側壁的頂部(在圖4a中繪示為區域U)接收來自離子束412的離子流,但底部(在圖4a中繪示為區域L)不接收來自離子束412的離子流。此外,離子束412的大傾斜角對側壁304a、304b所產生的影響可能不同於圖3b至圖3e 之離子束312。
圖5a至圖5e繪示為另一種示範性多階離子植入製程,在此製程中光阻線304受到離子束512的照射。在圖5b、圖5c所示之各步驟中,製程以類似於圖3b、圖3c所示之步驟執行。換言之,可先使離子束512以第一離子劑量和第一非零傾斜角前往側壁304a,然後以第二劑量和第二非零傾斜角對側壁304b執行相似的步驟。舉例而言,第一傾斜角相對於法線為+30度,而第二傾斜角相對於法線為-30度。
在圖5d、圖5e所示之步驟中,製程以類似於圖4b、圖4c所示之步驟執行,也就是說,側壁304a和側壁304b以高於圖3b、圖3c所示的傾斜角而受到離子的照射。在此情形下,第三離子劑量和第四離子劑量可分別以+45度的第三夾角和-45度的第四夾角來提供。
因此,當最後的步驟結束後,每個側壁304a、304b接收到30度植入劑量和45度植入劑量。藉由以30度和45度角分別進行照射,此示範性方法可以相加或協同的方式兼有不同照射角度的優點。
在可選實施例中,圖5d與圖5e所示之步驟可在圖5b與圖5c所示之步驟前執行。在涉及多階離子植入製程且各步驟所用的離子入射角不同的示範性方法中,可規劃離子照射的順序,以便達成線粗糙度的最佳化減少。選擇恰當的照射順序可基於諸如初始光阻輪廓等要素、線寬以及其他要素。舉例而言,如圖5b至圖5e所示,使側壁先以低 傾斜角接受離子照射再以高傾斜角接受離子照射會更加有效。
關於圖3a至圖5e所通常繪示的示範性方法,在一些實施例中,基板可保持零度扭轉角。然而,在其他實施例中,扭轉角可以是非零角。舉例而言,在本發明之一種方法中,可先以+45度傾斜角、+15度扭轉角來提供第一離子劑量的離子束312,然後以-45度傾斜角、+15度傾斜角來提供第二離子劑量的離子束312。此方法可繼續以+45度傾斜角和-15度扭轉角來提供第三離子劑量,然後以-45度傾斜角和-15度扭轉角來提供離子劑量。離子照射步驟的許多其他組合方式也是可以的,在這些組合中,每個光阻線側壁分多次離子照射來接受總離子劑量。
已使用4KeV氬離子束來研究關於不同的製程順序對線粗糙度所產生的影響,如圖6、圖7所示。圖6是離子劑量和傾斜角以幾種不同配方來使用的圖形,每種配方提供5E15/cm2的總劑量。第一種配方(“BL+/-45”)包括:第一步,以+45度角提供2.5E15/cm2的離子劑量;以及第二步,以-45度角提供2.5E15/cm2的離子劑量。根據第二種配方(“BL寬雙峰”,”BL wide bimodal”),第一步以+45度角提供1.0E15/cm2的離子劑量,第二步以-45度角提供1.0E15/cm2的離子劑量,第三步以+35度角提供1.0E15/cm2的離子劑量,第四步以-35度角提供1.0E15/cm2的離子劑量,第五步以+25度角提供0.5E15/cm2的離子劑量,以及第六步以-25度角提供0.5E15/cm2的離子劑量。 在光阻圖案按每種配方接受照射期間,光阻線經定向以使離子束能夠以+45度傾斜角照射光阻線的第一側,且以-45度傾斜角照射光阻線的相對側,如本說明書的圖3a至圖5e所示。
圖7與圖8繪示為對實施了圖6之上述離子照射配方的45-47nm寬的光阻線進行粗糙度輪廓測量的結果。圖7與圖8也呈現了對光阻樣品實施第三種配方(“BL多階+/-45”)的結果,其中第三種配方包括全部以45度傾斜角來執行的多階照射:第一步以+45度角提供1E15/cm2的離子劑量,第二步以-45度角提供1E15/cm2的離子劑量,第三步以+45度角提供1E15/cm2的離子劑量,第四步以-45度角提供1E15/cm2的離子劑量,第五步以+45度角提供0.5E15/cm2的離子劑量,以及第六步以-45度角提供0.5E15/cm2的離子劑量。
與對照樣品相比,每種配方都能減少光阻粗糙度。特別地,“BL多階”配方與“BL寬雙峰”配方為粗糙度輪廓帶來實質上的改良。本文所用的與粗糙度輪廓有關的術語“實質上的改良”表示線邊緣粗糙度及/或線寬粗糙度減少約5%或大於5%,及/或中頻範圍、低頻範圍或中頻範圍與低頻範圍的平均功率密度譜(average power density spectrum)信號減少約10%或大於10%。當使用第一種配方(每個側壁以45度傾斜角一次性地接收2.5E15/cm2的離子劑量)時,線寬粗糙度與線邊緣粗糙度分別減少13%和7%。相比之下,使用“BL多階”配方後,線寬粗糙度 與線邊緣粗糙度均減少45%,而使用“BL寬雙峰”配方後,線寬粗糙度和線邊緣粗糙度分別減少37%和35%。
圖8繪示為使用圖7所示之同一套配方來執行離子照射之前(作為參考)與之後的線寬粗糙度的能譜分佈。離子流照射可使高頻((100-1000)/um)粗糙度略微減少,而使用所有的三種配方可使中頻((10-100)/um)粗糙度信號顯著減少。然而,低頻((1-10)/um)粗糙度只有使用“BL多階”配方和“BL寬雙峰”配方後才能明顯減少,這兩種配方均是對每個光阻側壁進行多次離子照射。在進行“BL多階”和“BL寬雙峰”這兩種離子處理後,平均低頻PSD強度能夠減少50%左右。
因此,5E15/cm2的氬離子劑量,當分成多次照射提供給光阻線的每個側壁時,就減少光阻線粗糙度輪廓而言,比提供一次性劑量給每個側壁有效得多,這已透過線寬粗糙度、線邊緣粗糙度以及能譜測量得到了證實。
本發明也包括其他多階植入配方。舉例而言,“平分佈”(flat distribution)可包括按照以下順序以多個傾斜角來施加相同劑量(如5E14/cm2)的一系列步驟:+5度、-5度、+15度、-15度、+25度、-25度、+35度、-35度、+45度以及-45度。
請再參照圖2c,本發明提供一種適用於窄光阻特徵的改良式光阻罩幕(mask)結構。此光阻罩幕結構是在窄光阻特徵被植入總離子劑量後所形成,其中總離子劑量是在大於約5E14/cm2的範圍內,且較佳是在1E15/cm2~ 10E15/cm2的範圍內。本發明之光阻罩幕結構之特點在於較長長度規模粗糙度特徵和中等長度規模粗糙度特徵顯著減少,但這些粗糙度特徵會存在於藉由微影法形成且未進行本發明所述之製程的光阻罩幕結構中。先前技術也無法形成這種具有減少的長規模粗糙度的光阻罩幕結構。
概括而言,本發明提供用來減少圖案化光阻之粗糙度的方法與系統,其以非零傾斜角來提供總離子劑量,使得離子能夠撞擊光阻側壁,從而使圖案化光阻之粗糙度減少。總離子劑量被分成一次以上(多階)的照射。使用此多階照射法,本發明提供一組參數,可調節這組參數來達成線粗糙度的最佳化減少,從而導致此類光阻系統中用來形成光阻圖案的微影製程的品質的多個要素(如光阻圖案幾何圖形變化)以及其他要素。這些要素均需對多個參數(諸如傾斜角、扭轉角、每個光阻側壁接受離子束照射的次數、照射順序以及其他參數)進行不同的組合。
本說明書揭露了一種改善圖案化光阻之粗糙度的創新技術。本文所述之具體實施例並非對本發明之範圍進行限定。實際上,根據上文的描述和附圖,熟悉本領域的技術人員除了能夠瞭解本文所述之實施例外,也應當瞭解本發明的其他各個實施例以及對本發明的改良。舉例而言,上文所述的本發明之各實施例通常是針對使用氬離子進行轟擊,但本發明也可使用其他離子物種來實施。因此,這類其他實施例以及改良應視為屬於本發明之範圍。此外,本文是針對特定的環境、特定的目的以特定的實施方式來 描述本發明,但熟悉本領域的技術人員容易理解的是,其效用並不限於此,本發明可在任何環境下為了任何目的以有益的方式來實施。因此,本文所述之發明的完整範圍和精神當視後附之申請專利範圍所界定者為准。
95、212、214、216、218、220、222、224、312、412、 512‧‧‧離子束
100‧‧‧離子植入系統
101‧‧‧電源
102‧‧‧離子源室
104‧‧‧電極
106‧‧‧質量分析磁鐵
108、112‧‧‧減速台
110‧‧‧校正磁鐵
114‧‧‧支撐物
200、302‧‧‧基板
202‧‧‧圖案化光阻
204‧‧‧光阻線
204a、204b、204c‧‧‧粗糙度分量
304‧‧‧光阻特徵
304a、304b、304a1、304b1‧‧‧側壁
304c‧‧‧上表面
N‧‧‧法線
W‧‧‧關鍵尺寸
h‧‧‧高度
D‧‧‧間距
U‧‧‧頂部
L‧‧‧底部
圖1是一種離子植入系統的示意圖。
圖2a包括圖案化光阻的平面示意圖,其繪示了本發明的一般特徵。
圖2b包括圖案化光阻的橫截面示意圖,其繪示了本發明的一般特徵。
圖2c是光阻線寬粗糙度分量的示意圖。
圖3a至圖3f是光阻結構的橫截面示意圖,其繪示了一種示範性多階離子植入製程的各步驟。
圖4a至圖4e繪示了另一種示範性多階離子植入製程。
圖5a至圖5e繪示了又一種示範性多階離子植入製程。
圖6是一種多階離子植入製程的細節圖。
圖7是執行了圖6之多階離子植入製程的光阻樣品的線寬粗糙度及線邊緣粗糙度測量結果圖。
圖8是在接受圖6之多階離子植入製程的離子照射之前和之後,線寬粗糙度的能譜分佈曲線圖。
302‧‧‧基板
304‧‧‧光阻特徵
312‧‧‧離子束

Claims (19)

  1. 一種使基板上所提供的多個圖案化阻膜特徵的粗糙度輪廓減少的方法,每個圖案化光阻特徵包括第一側壁以及位於所述第一側壁對面的第二側壁,每個圖案化光阻特徵更包括粗糙度輪廓,所述方法包括:執行多個離子照射週期,以減少光阻特徵的所述粗糙度輪廓,其中每個離子照射週期包括:以為五度或大於五度的傾斜角提供離子到所述第一側壁;以及以為五度或大於五度的傾斜角提供離子到所述第二側壁,其中第一離子照射週期與第二離子照射週期所用的所述傾斜角是不同的。
  2. 如申請專利範圍第1項所述之使基板上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中在每個離子照射週期中,所述傾斜角是相同的。
  3. 如申請專利範圍第1項所述之使基板上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中在第一次照射週期中,離子在關於所述側壁之法線的正方向上形成非零度扭轉角,以及其中在第二次照射週期中,所述離子在關於所述法線的負方向上形成非零度夾角。
  4. 如申請專利範圍第1項所述之使基板上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中第一次照射週期所用的所述傾斜角小於第二次照射週期所用的所述傾斜角。
  5. 一種使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中每個圖案化光阻特徵包括第一側壁以及位於所述第一側壁對面的第二側壁,所述方法包括:按照以下步驟將總離子劑量提供給所述多個圖案化光阻特徵:在第一次照射中,以第一離子劑量和第一傾斜角對所述基板的所述第一表面進行照射,所述第一傾斜角相對於基板法線大於五度,其中所述第一離子劑量撞擊在所述多個光阻特徵的所述第一側壁上;在第二次照射中,以第二離子劑量和第二傾斜角對所述基板的所述第一表面進行照射,所述第二傾斜角相對於基板法線大於五度,其中所述第二離子劑量撞擊在所述多個光阻特徵的所述第二側壁上;在第三次照射中,以第三離子劑量和第三傾斜角對所述基板的所述第一表面進行照射,所述第三傾斜角相對於基板法線大於五度,其中所述第三離子劑量撞擊在所述多個光阻特徵的所述第一側壁上;以及在第四次照射中,以第四離子劑量和第四傾斜角對所述基板的所述第一表面進行照射,所述第四傾斜角相對於基板法線大於五度,其中所述第四離子劑量撞擊在所述多個光阻特徵的所述第二側壁上,其中總照射劑量等於所述第一離子劑量、所述第二離子劑量、所述第三離子劑量以及所述第四離子劑量之總和。
  6. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一傾斜角形成與所述第二傾斜角大小相等的夾角,以及其中所述第三傾斜角形成與所述第四傾斜角大小相等的夾角。
  7. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一離子劑量與所述第二離子劑量相等,且所述第三離子劑量與所述第四離子劑量相等。
  8. 如申請專利範圍第6項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一傾斜角與所述第三傾斜角是相同的角。
  9. 如申請專利範圍第6項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一傾斜角與所述第三傾斜角相對於所述基板法線而形成不同的角。
  10. 如申請專利範圍第9項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一傾斜角相對於所述基板法線而形成大於所述第三傾斜角的角。
  11. 如申請專利範圍第9項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一傾斜角相對於所述基板法線而形成小於所述第三傾斜角的角。
  12. 如申請專利範圍第7項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一離子劑量大於所述第三離子劑量。
  13. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述總離子劑量介於5E14/cm2與2.0E16/cm2之間。
  14. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述總離子劑量包括從氦、氖、氬、氪、氙以及氡所組成的群組中選出的離子劑量。
  15. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述總照射劑量以相同的離子能量被所述圖案化光阻特徵接收。
  16. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,更包括使所述基板的所述第一表面接受一個或一個以上的額外照射週期的照射,其中每個額外的照射週期包括:使所述基板的所述第一表面以相對於基板法線大於五度的額外傾斜角接受離子撞擊,其中離子撞擊在所述多個光阻特徵的所述第一側壁上;以及使所述基板的所述第一表面以相對於基板法線大於五度的另一傾斜角接受離子撞擊,其中離子撞擊在所述多 個光阻特徵的所述第二側壁上。
  17. 如申請專利範圍第16項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中所述第一傾斜角形成與所述第二傾斜角大小相等的夾角,所述第三傾斜角形成與所述第四傾斜角大小相等的夾角,且在每個額外的照射週期中,所述額外的傾斜角形成與所述另一傾斜角大小相等的夾角。
  18. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,更包括:在每次照射之間,以一個扭轉角旋轉所述基板。
  19. 如申請專利範圍第5項所述之使基板之第一表面上所提供的多個圖案化光阻特徵的粗糙度輪廓減少的方法,其中若是在包含所述基板的腔室內進行照射,則提供所述總離子劑量要在每兩次照射之間不破壞真空環境的前提下進行。
TW100135281A 2010-10-01 2011-09-29 使用多階離子植入將圖案化光阻改質的方法及其系統 TWI520181B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/896,046 US8133804B1 (en) 2010-10-01 2010-10-01 Method and system for modifying patterned photoresist using multi-step ion implantation

Publications (2)

Publication Number Publication Date
TW201222640A TW201222640A (en) 2012-06-01
TWI520181B true TWI520181B (zh) 2016-02-01

Family

ID=44906363

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100135281A TWI520181B (zh) 2010-10-01 2011-09-29 使用多階離子植入將圖案化光阻改質的方法及其系統

Country Status (6)

Country Link
US (1) US8133804B1 (zh)
JP (1) JP5858496B2 (zh)
KR (1) KR101872708B1 (zh)
CN (1) CN103155090B (zh)
TW (1) TWI520181B (zh)
WO (1) WO2012044677A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974683B2 (en) * 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
KR101654661B1 (ko) * 2012-06-29 2016-09-07 캐논 아네르바 가부시키가이샤 이온빔 처리 방법 및 이온빔 처리 장치
CN104345568A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(上海)有限公司 减小光刻胶图形线宽粗糙度的方法
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9512517B2 (en) 2015-01-23 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Multiple exposure treatment for processing a patterning feature
CN106298929B (zh) * 2015-06-12 2019-11-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
CN105632981A (zh) * 2016-03-19 2016-06-01 复旦大学 一种利用热处理减小微电子器件表面粗糙度的仪器
CN105789044A (zh) * 2016-03-19 2016-07-20 复旦大学 一种利用热处理减小微电子器件表面粗糙度的方法
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10310379B2 (en) * 2017-01-13 2019-06-04 Varian Semiconductor Equipment Associates, Inc. Multiple patterning approach using ion implantation
US10147584B2 (en) * 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
KR102491093B1 (ko) 2017-08-21 2023-01-20 삼성전자주식회사 패턴 형성 방법
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US10818473B2 (en) 2018-08-14 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Implanter calibration
US20230095456A1 (en) * 2020-03-30 2023-03-30 Hitachi High-Tech Corporation Charged particle beam apparatus and method for calculating roughness index
US11635695B2 (en) * 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing line-end space in integrated circuit patterning
CN111755326A (zh) * 2020-06-29 2020-10-09 西安微电子技术研究所 一种解决7度角注入工艺中硅衬底起皮缺陷的方法
US20220102139A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for multiple step directional patterning
US11854818B2 (en) * 2021-05-04 2023-12-26 Applied Materials, Inc. Angled etch for surface smoothing
US20230335401A1 (en) * 2022-04-14 2023-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Ion implantation method for reducing roughness of patterned resist lines

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100272159B1 (ko) * 1993-11-24 2000-11-15 윤종용 대칭적 이온 주입 방법
JP2000235969A (ja) * 1999-02-15 2000-08-29 Sony Corp 半導体装置の製造方法
JP4060659B2 (ja) * 2002-07-24 2008-03-12 株式会社東芝 パターン形成方法、及び基板処理装置
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
JP3963846B2 (ja) * 2003-01-30 2007-08-22 東京エレクトロン株式会社 熱的処理方法および熱的処理装置
JP4213533B2 (ja) * 2003-07-17 2009-01-21 富士通株式会社 スリミング製造方法およびスリミングシステム
CN100440450C (zh) * 2003-09-30 2008-12-03 日本航空电子工业株式会社 固体表面平坦化方法及其装置
US7291563B2 (en) * 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
US8268183B2 (en) * 2006-10-30 2012-09-18 Japan Aviaton Electronics Industry, Limited Method of processing solid surface with gas cluster ion beam
US20100096566A1 (en) * 2008-10-20 2010-04-22 Robert Bristol Reducing Line Edge Roughness by Particle Beam Exposure

Also Published As

Publication number Publication date
US20120083136A1 (en) 2012-04-05
KR101872708B1 (ko) 2018-06-29
CN103155090B (zh) 2016-02-24
JP5858496B2 (ja) 2016-02-10
KR20130138786A (ko) 2013-12-19
TW201222640A (en) 2012-06-01
JP2013541845A (ja) 2013-11-14
WO2012044677A1 (en) 2012-04-05
US8133804B1 (en) 2012-03-13
CN103155090A (zh) 2013-06-12

Similar Documents

Publication Publication Date Title
TWI520181B (zh) 使用多階離子植入將圖案化光阻改質的方法及其系統
US8460569B2 (en) Method and system for post-etch treatment of patterned substrate features
JP5728566B2 (ja) イオン注入を用いて基板のパターン化特徴を変更するための方法及びシステム
KR101911330B1 (ko) 레지스트 특징부들의 임계 치수 및 러프니스를 제어하기 위한 방법 및 시스템
US9340877B2 (en) Method and system for modifying photoresist using electromagnetic radiation and ion implantation
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
TWI604508B (zh) 用於處理圖案特徵的多重曝光處理
US8974683B2 (en) Method and system for modifying resist openings using multiple angled ions
KR20130124149A (ko) 이온 주입을 사용하는 기판 패턴화된 특징부들의 수정 방법 및 시스템
Current Perspectives on low-energy ion (and neutral) implantation