CN103097476A - 化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法 - Google Patents

化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法 Download PDF

Info

Publication number
CN103097476A
CN103097476A CN2011800432739A CN201180043273A CN103097476A CN 103097476 A CN103097476 A CN 103097476A CN 2011800432739 A CN2011800432739 A CN 2011800432739A CN 201180043273 A CN201180043273 A CN 201180043273A CN 103097476 A CN103097476 A CN 103097476A
Authority
CN
China
Prior art keywords
water
polishing composition
composition
soluble
alcohol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800432739A
Other languages
English (en)
Other versions
CN103097476B (zh
Inventor
Y·李
J-J·楚
S·S·文卡塔拉曼
S·A·奥斯曼易卜拉欣
H·W·平德尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of CN103097476A publication Critical patent/CN103097476A/zh
Application granted granted Critical
Publication of CN103097476B publication Critical patent/CN103097476B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/24Homopolymers or copolymers of amides or imides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

一种pH值为3-11的含水抛光组合物,其包含:(A)磨料颗粒,当其分散于不含组分(B)且pH值为3-9的含水介质中时带正电荷,如电泳迁移率所证实的那样;(B)阴离子磷酸盐分散剂;和(C)多羟基醇组分,其选自:(c1)水溶性和水分散性的脂族和脂环族的单体、二聚和低聚的具有至少4个羟基的多元醇;(c2)如下物质的混合物:(c21)水溶性和水分散性的脂族和脂环族的具有至少2个羟基的多元醇;和(c22)选自线性和支化的氧化烯均聚物和共聚物(c221);和线性和支化的脂族和脂环族的聚(N-乙烯基酰胺)均聚物和共聚物(c222)的水溶性或水分散性聚合物;和(c3)(c1)和(c2)的混合物;以及一种抛光用于电子、机械和光学器件的衬底的方法。

Description

化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法
本发明涉及一种新型含水抛光组合物,其尤其适于抛光用于电子、机械和光学器件的衬底。
此外,本发明涉及一种抛光用于制造电子、机械和光学器件的衬底的新型方法。
最后但并非最不重要地,本发明涉及用于制造电子、机械和光学器件的新型含水抛光组合物的新用途。
引用文献
本申请所引文献通过引用全文引入。
发明背景
化学机械平坦化或抛光(CMP)是获得集成电路(IC)器件局部和全局平坦度的主要方法。该技术通常采用含有磨料和其他添加剂的CMP组合物或淤浆作为在施加负载下处于旋转衬底表面与抛光垫之间的活性化学品。因此,CMP方法兼具物理过程如摩擦以及化学过程如氧化或螯合。不希望衬底由纯物理作用或纯化学作用而移除或抛光,而是希望二者的协同增效组合以获得快速均匀的移除。
以此方式移除衬底直至获得所需的平坦度或者直至阻挡子层或阻断层暴露。最终,获得平坦的无缺陷表面,其能通过后续的光刻、图案化、蚀刻和薄膜加工而进行合适的多层IC器件制造。
浅槽隔离(STI)为特定的CMP应用,其通常需要在图案化晶片衬底上相对于氮化硅选择性移除二氧化硅。在这种情况下,用介电材料如二氧化硅过量填充经蚀刻的沟槽,使用氮化硅阻挡膜作为阻断层抛光该介电材料。在从阻挡膜清除二氧化硅且同时使暴露的氮化硅和沟槽氧化硅的移除最小化的情况下结束CMP方法。
这要求CMP淤浆能实现二氧化硅材料移除速率MRR对氮化硅移除速率MRR的高相对比,该比值在本领域中也称为氧化物对氮化物的选择性。
在STI应用中,氧化铈基CMP淤浆由于其能实现较高的氧化物对氮化物选择性而颇受关注,所述高的氧化物对氮化物选择性归因于氧化铈对二氧化硅的高化学亲和力,这在本领域也称为氧化铈的化学齿化作用(toothaction)。
尽管如此,必须借助“调节”该选择性的添加剂以改善氧化铈基CMP淤浆的氧化物对氮化物的选择性。
已进行了许多尝试以调节氧化铈基CMP淤浆的选择性。
因此,Jae-Don Lee等在Journal of the Electrochemical Society,149(8),G477-G481,2002中公开了具有不同亲水-亲脂平衡(HLB)值的非离子表面活性剂如聚氧化乙烯、氧化乙烯-氧化丙烯共聚物和氧化乙烯-氧化丙烯-氧化乙烯三嵌段共聚物对CMP期间的氧化物对多晶硅选择性的影响。然而,使用热解法二氧化硅作为磨料。
Jae-Dong Lee等在Journal of the Electrochemical Society,149(8),G477-G481,2002,Effects of Nonionic Surfactants on Oxide-To-PolysiliconSelectivity during Chemical Mechanical Polishing中公开了表面活性剂如聚氧化乙烯(PEO)和氧化乙烯-氧化丙烯-氧化乙烯三嵌段共聚物对选择性的影响。然而,并未提及氧化物对氮化物的选择性。
美国专利申请US2002/0034875A1和美国专利US6,626,968B2公开了一种氧化铈基CMP淤浆,其包含有表面活性剂,pH值调节剂如氢氧化钾、硫酸、硝酸、盐酸或磷酸以及含有亲水官能团和疏水官能团的聚合物如聚乙烯基甲基醚(PVME)、聚乙二醇(PEG)、聚氧化乙烯23月桂基醚(POLE)、聚丙酸(PPA)、聚丙烯酸(PM)和聚乙二醇二醚(PEGBE)。所述氧化铈基CMP淤浆具有提高的氧化物对多晶硅的选择性。
美国专利US6,616,514B1公开了一种用于改善氧化物对氮化物选择性的氧化铈基CMP淤浆,其包含具有至少3个在含水介质中不可解离的羟基的有机多元醇,或由至少一种具有至少3个在含水介质中不可解离的羟基的单体所形成的聚合物,如甘露糖醇、山梨糖醇、甘露糖、木糖醇、山梨糖、蔗糖和糊精。
美国专利申请US2006/0124594A1公开了一种氧化铈基CMP淤浆,其具有至少1.5cP的粘度且包含增粘剂,所述增粘剂包括非离子聚合物如聚乙二醇(PEG)。据称该氧化铈基CMP淤浆具有高的氧化物对氮化物选择性和低的晶片内非均匀性WIWNU。
美国专利申请US2006/0207188A1公开了一种氧化铈基CMP淤浆,其包含聚合物如聚丙烯酸或聚甲基丙烯酸烷基酯与单体如丙烯酰胺、甲基丙烯酰胺、乙基-甲基丙烯酰胺、乙烯基吡啶或乙烯基吡咯烷酮的反应产物。据信所述反应产物也能提高氧化物对氮化物的选择性。
美国专利申请US2006/0216935A1公开了一种氧化铈基CMP淤浆,其包含蛋白质、赖氨酸和/或精氨酸,以及吡咯烷酮类化合物如聚乙烯基吡咯烷酮(PVP)、N-辛基-2-吡咯烷酮、N-乙基-2-吡咯烷酮、N-羟乙基-2-吡咯烷酮、N-环己基-2-吡咯烷酮、N-丁基-2-吡咯烷酮、N-己基-2-吡咯烷酮、N-癸基-2-吡咯烷酮、N-十八烷基-2-吡咯烷酮和N-十六烷基-2-吡咯烷酮。所述氧化铈基CMP淤浆可进一步包含分散剂,如聚丙烯酸、二醇和聚二醇。具体实施例使用脯氨酸、聚乙烯基吡咯烷酮或N-辛基-2-吡咯烷酮、PPO/PEO嵌段共聚物和戊二醛。据信所述氧化铈基CMP淤浆不腐蚀性地移除沟槽二氧化硅,由此允许超出端点的延伸性抛光而基本不提高最小台阶高度。
美国专利申请US2007/0077865A1公开了一种氧化铈基CMP淤浆,其包含优选获自由BASF销售的PluronicTM族的聚氧化乙烯/聚氧化丙烯共聚物。所述氧化铈基CMP淤浆可进一步包含氨基醇如2-二甲基氨基-2-甲基-1-丙醇(DMAMP)、2-氨基-2-乙基-1-丙醇(AMP)、2-(2-氨基乙基氨基)乙醇、2-(异丙基氨基)乙醇、2-(甲基氨基)乙醇、2-(二乙基氨基)乙醇、2-(2-二甲基氨基)乙氧基)乙醇、1,1'-[[3-(二甲基氨基)丙基]亚氨基]-双-2-丙醇、2-(2-丁基氨基)乙醇、2-(叔丁基氨基)乙醇、2-(二异丙基氨基)乙醇和N-(3-氨基丙基)吗啉。所述氧化铈基CMP淤浆可进一步包含季铵化合物,如四甲基氢氧化铵;成膜剂,如烷基胺、链烷醇胺、羟胺、磷酸酯、月桂基硫酸钠、脂肪酸、聚丙烯酸酯、聚甲基丙烯酸酯、聚乙烯基膦酸酯、聚苹果酸酯、聚苯乙烯磺酸酯、聚乙烯基硫酸酯、苯并三唑、三唑和苯并咪唑;以及络合剂,如乙酰丙酮、乙酸盐、乙醇酸盐、乳酸盐、葡糖酸盐、棓酸、草酸盐、邻苯二甲酸盐、柠檬酸盐、琥珀酸盐、酒石酸盐、苹果酸盐、乙二胺四乙酸、乙二醇、焦儿茶酚、焦棓酚、单宁酸、
Figure BDA00002896334700041
盐和膦酸。据信所述氧化铈基CMP淤浆提供了良好的氧化硅对多晶硅选择性和/或氮化硅对多晶硅选择性。
美国专利申请US2007/0175104A1公开了一种氧化铈基CMP淤浆,其包含选自具有被选自如下组的任何成员取代的N-单取代或N,N-二取代骨架的水溶性聚合物的多晶硅抛光抑制剂:丙烯酰胺、甲基丙烯酰胺及其α-取代衍生物;聚乙二醇;聚乙烯基吡咯烷酮;烷氧基化的直链脂族醇以及基于乙炔的二醇的氧化乙烯加合物。所述氧化铈基CMP淤浆可包含其他水溶性聚合物,如多醣如藻酸、果胶酸、羧甲基纤维素、琼脂、凝胶多糖和茁霉多糖(pullulan);聚羧酸,如聚天冬氨酸、聚谷氨酸、聚赖氨酸、聚苹果酸、聚甲基丙烯酸、聚酰亚胺酸、聚马来酸、聚衣康酸、聚富马酸、聚(对苯乙烯甲酸)、聚丙烯酸、聚丙烯酰胺、氨基聚丙烯酰胺、聚乙醛酸及其盐;以及乙烯基聚合物,如聚乙烯醇和聚丙烯醛。据称所述氧化铈基CMP淤浆具有高的氧化硅对多晶硅选择性。
美国专利申请US2007/0191244A1公开了一种氧化铈基CMP淤浆,其包含具有30-500重均分子量且含有羟基和羧基或两者的化合物,如柠檬酸盐、苹果酸盐、葡糖酸盐、酒石酸盐、2-羟基异丁酸盐、己二酸盐、辛酸盐、琥珀酸盐、含EDTA的化合物、戊二酸盐、亚甲基琥珀酸盐、甘露糖、甘油糖-半乳糖-庚糖、赤藓糖-甘露糖-辛糖、阿拉伯糖-半乳糖-壬糖和谷氨酰胺。所述氧化铈基CMP淤浆可进一步包含线性聚合物酸或具有烷氧基聚亚烷基二醇侧链的接枝型聚合物酸。据称所述氧化铈基CMP淤浆实现了改善的经抛光晶片的全局平坦度。
美国专利申请US2008/0085602A1和US2008/0124913A1公开了氧化铈基CMP淤浆,其包含0.001-0.1重量%的选自氧化乙烯-氧化丙烯-氧化乙烯三嵌段共聚物和聚丙烯酸的非离子表面活性剂作为分散剂。据称所述氧化铈基淤浆具有高的氧化硅和氮化硅对多晶硅的选择性。
电子器件,尤其是半导体集成电路(IC)的制造需要尤其涉及高选择性CMP的高精度方法。
尽管现有技术的氧化铈基CMP淤浆可具有令人满意的氧化物对氮化物的选择性且可获得具有良好的全局和局部平坦度的抛光晶片(例如晶片内非均匀性(WIWNU)和晶片间非均匀性(WTWNU)),但IC构造,尤其是具有LSI(大规模集成)或VLSI(超大规模集成)的IC的不断减小的尺寸需要持续改善氧化铈基CMP淤浆,以满足集成电路器件制造商日益提高的技术和经济要求。
然而,该持续改善现有技术氧化铈基CMP淤浆的迫切需要不仅适用于集成电路器件领域,而且也必须改善在制造其他电子器件的领域中的抛光和平坦化功效,所述其他电子器件如液晶面板、有机电致发光面板、印刷电路板、微机械、DNA芯片、微装置、光伏电池和磁头;以及高精度机械器件和光学器件,尤其是光学玻璃如光掩模、透镜和棱镜;无机导电膜如氧化铟锡(ITO);光集成电路、光开关元件、光波导、光学单晶如光纤和闪烁体的端面、固态激光器单晶、用于蓝色激光LED的蓝宝石衬底、半导体单晶和用于磁盘的玻璃基材。这类电子和光学器件的制造也需要高精度CMP工艺步骤。
欧洲专利申请EP1338636A1公开了一种氧化铈基CMP淤浆,其包含选自纤维素、结晶纤维素、纤维素衍生物、二氧化硅、藻酸盐、β-萘磺酸盐福尔马林缩合物、磷酸氢钙、蛋白质、多肽和有机高分子絮凝剂的抗凝固剂,以及分散剂或表面活性剂如缩合磷酸盐,如焦磷酸、焦磷酸钠、三聚磷酸钠或六偏磷酸钠。然而,其仅公开了玻璃的抛光。
日本专利申请JP2005-336400A公开了一种氧化铈基CMP淤浆,其包含水溶性缩合磷酸盐,如焦磷酸盐、三聚磷酸盐和六偏磷酸盐;以及水溶性碳酸盐或碳酸氢盐。所述氧化铈基CMP淤浆可进一步包含水溶性有机溶剂,如甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、乙二醇、丙二醇和1,2,3-丙三醇,酮如丙酮和甲乙酮,四氢呋喃、N,N-二甲基甲酰胺、二甲亚砜和1,4-二
Figure BDA00002896334700051
烷。据称所述氧化铈基CMP淤浆就抛光精度、清洁、初始抛光速率和抛光速率方面而言改善了抛光。然而,其仅公开了玻璃的抛光。
日本专利申请JP2001-240850A公开了一种CMP淤浆,其包含氧化铝、氧化锆或碳化硅作为磨料;氧化烯-氧化乙烯嵌段或无规共聚物作为分散剂,以及磷酸钠或聚磷酸钠作为“防锈剂”。所述CMP淤浆用于抛光硅晶片、玻璃、铝、陶瓷、合成二氧化硅、石英和蓝宝石。
发明目的
因此,本发明目的是提供一种新型含水抛光组合物,特别是新型化学机械抛光(CMP)组合物,尤其是新型氧化铈基CMP淤浆,其不再具有现有技术抛光组合物的缺陷和缺点。
特别地,所述新型含水抛光组合物,特别是所述新型化学机械抛光(CMP)组合物,尤其是所述新型氧化铈基CMP淤浆应表现出显著改善的氧化物对氮化物选择性,且获得具有优异的全局和局部平坦度(如晶片内非均匀性(WIWNU)和晶片间非均匀性(WTWNU))的抛光晶片。因此,其应非常适于制造具有尺寸小于50nm的结构的IC构造,尤其是具有LSI(大规模集成)或VLSI(超大规模集成)的IC。
此外,所述新型含水抛光组合物,特别是所述新型化学机械抛光(CMP)组合物,尤其是所述新型氧化铈基CMP淤浆应不仅格外适用于集成电路器件领域,而且也应最有效且有利地适用于其他电子器件的制造领域中,所述其他电子器件如液晶面板、有机电致发光面板、印刷电路板、微机械、DNA芯片、微装置和磁头;以及高精度机械器件和光学器件,尤其是光学玻璃如光掩模、透镜和棱镜;无机导电膜如氧化铟锡(ITO);光集成电路、光开关元件、光波导、光学单晶如光纤和闪烁体的端面、固态激光器单晶、用于蓝色激光LED的蓝宝石衬底、半导体单晶和用于磁盘的玻璃基材。
本发明另一目的是提供一种抛光用于机械、电子和光学器件的衬底的新型方法,所述衬底包含氧化硅介电膜和氮化硅膜。
发明简述
因此,已发现pH值为3-11的新型含水抛光组合物,所述含水抛光组合物包含:
(A)至少一种磨料颗粒,当其分散于不含组分(B)且pH值为3-9的含水介质中时带正电荷,如电泳迁移率所证实的那样;
(B)至少一种阴离子磷酸盐分散剂;和
(C)至少一种多羟基醇组分,其选自:
(c1)选自水溶性和水分散性的脂族和脂环族的单体、二聚和低聚的具有至少4个在含水介质中不可解离的羟基的多元醇的多羟基醇;
(c2)如下物质的混合物:
(c21)至少一种选自水溶性和水分散性的脂族和脂环族的具有至少2个在含水介质中不可解离的羟基的多元醇的多羟基醇;和
(c22)至少一种选自线性和支化的氧化烯均聚物和共聚物(c221);和线性和支化的脂族和脂环族的聚(N-乙烯基酰胺)均聚物和共聚物(c222)的水溶性或水分散性聚合物;和
(c3)(c1)和(c2)的混合物。
在下文中,将所述新型含水抛光组合物称为“本发明组合物”。
此外,已发现所述抛光用于机械、电子和光学器件的衬底的新型方法,通过使所述衬底与本发明组合物接触至少一次并抛光所述衬底,直至获得所需平坦度而进行。
在下文中,将所述抛光用于机械、电子和光学器件的衬底的新型方法称为“本发明方法”。
最后但并非最不重要地,已发现了本发明组合物用于制造电子、机械和光学器件的用途,所述用途在下文中称为“本发明用途”。
本发明优点
鉴于现有技术,令本领域熟练技术人员惊讶且无法预料的是,本发明目的可通过本发明组合物、本发明方法和用途实现。
特别令人惊讶的是,本发明组合物呈现出显著改善的氧化物对氮化物的选择性,且获得具有优异全局和局部平坦度的抛光晶片(如晶片内非均匀性(WIWNU)和晶片间非均匀性(WTWNU))。因此,其非常适于制造具有尺寸小于50nm的结构的IC构造,尤其是具有LSI(大规模集成)或VLSI(超大规模集成)的IC。
此外,本发明组合物在长期运输和储存期间稳定,该稳定性显著改善了后勤和过程管理。
此外,本发明组合物不仅格外适用于集成电路器件领域,而且最有效且有利地适用于制造其他电子器件的领域中,所述其他电子器件如液晶面板、有机电致发光面板、印刷电路板、微机械、DNA芯片、微装置和磁头;以及高精度机械器件和光学器件,尤其是光学玻璃如光掩模、透镜和棱镜;无机导电膜如氧化铟锡(ITO);光集成电路、光开关元件、光波导、光学单晶如光纤和闪烁体的端面、固态激光器单晶、用于蓝色激光LED的蓝宝石衬底、半导体单晶和用于磁盘的玻璃基材。
最特别地,本发明组合物极其适用于本发明用途。
因此,本发明组合物最特别地适用于本发明方法。本发明方法可最有利地用于抛光(尤其是化学机械抛光)电子器件的衬底,所述电子器件如液晶面板、有机电致发光面板、印刷电路板、微机械、DNA芯片、微装置和磁头;以及高精度机械器件和光学器件,尤其是光学玻璃如光掩模、透镜和棱镜;无机导电膜如氧化铟锡(ITO);光集成电路、光开关元件、光波导、光学单晶如光纤和闪烁体的端面、固态激光器单晶、用于蓝色激光LED的蓝宝石衬底、半导体单晶和用于磁盘的玻璃基材。
然而,最特别地是,本发明方法非常适于抛光包含氧化硅介电膜和氮化硅膜的半导体晶片。本发明方法获得无蝶形缺陷、杯形缺陷(cupping)或热点的优异全局和局部平坦度和均衡性的抛光晶片,如晶片内非均匀性(WIWNU)和晶片间非均匀性(WTWNU)所例示。因此,其非常适于制造具有尺寸小于50nm的结构的IC构造,尤其是具有LSI(大规模集成)或VLSI(超大规模集成)的IC。
发明详述
本发明组合物为含水组合物。这意指其包含水,尤其是超纯水作为主溶剂和分散剂。然而,本发明组合物可包含至少一种水溶混性有机溶剂,但所述有机溶剂的量仅为如此少的量使得其不改变本发明组合物的水性特性。
本发明组合物优选包含其量为60-99.95重量%,更优选70-99.9重量%,甚至更优选80-99.9重量%,最优选90-99.9重量%的水,所述重量百分比基于本发明组合物的总重量。
本发明组合物的pH优选使用下文描述的pH-调节剂(E)而调节为3-11,更优选4-11,甚至更优选5-11,最优选6-11。
“水溶性”意指本发明组合物的有关组分或成分可以以分子水平溶于水相中。
“水分散性”意指本发明组合物的有关组分或成分可分散于水相中并形成稳定的乳液或悬浮液。
“二聚物”或“二聚的”意指本发明组合物的有关组分或成分由两个连接的单体结构单元组成,其可具有相同结构或可具有相互不同的结构。
“低聚物”或“低聚的”意指本发明组合物的有关组分或成分由3-12个连接的单体结构单元组成,其全部可具有相同结构。然而,结构单元也可选自至少两种不同的结构。
“聚合物”或“聚合的”意指本发明组合物的有关组分或成分由大于12个连接的单体结构单元组成,其全部可具有相同结构。然而,结构单元也可选自至少两种不同的结构。
“多羟基”意指“包含两个或更多个羟基”。
本发明组合物的第一必要成分为至少一种,优选一种磨料颗粒(A)。
当分散于不含下文所述的阴离子磷酸盐分散剂(B)且具有3-9的pH值的含水介质中时,磨料颗粒(A)带正电荷。所述正电荷被磨料颗粒(A)的电泳迁移率μ(μm/s)(V/cm)所证实。电泳迁移率μ可使用诸如Malvern,Ltd的Zetasizer Nano的仪器直接测量。
磨料颗粒(A)的平均粒度可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。由动态激光散射所测定的平均粒度优选为1-2000nm,优选1-1000nm,更优选1-750nm,最优选1-500nm。
磨料颗粒(A)的粒度分布可为单峰、双峰或多峰。粒度分布优选为单峰,从而在本发明方法期间具有易再现的磨料颗粒(A)的综合性质和易再现的条件。
此外,磨料颗粒(A)的粒度分布可为窄或宽的。粒度分布优选为窄的,仅具有少量的小颗粒和大颗粒,从而在本发明方法期间具有易再现的磨料颗粒(A)综合性质和易再现的条件。
磨料颗粒(A)可具有各种形状。因此,其可具有一种或基本上一种形状。然而,磨料颗粒(A)也可具有不同的形状。特别地,本发明给定组合物中可存在两种不同形状的磨料颗粒(A)。至于形状本身,其可为立方体、具有斜边的立方体、八面体、二十面体、球节状和具有或不具有突起或凹陷的球体。所述形状最优选为不具有或仅具有极少突起或凹陷的球体。通常优选该形状,这是因为其通常提高了磨料颗粒(A)在CMP方法期间对其所暴露的机械力的抗性。
原则上,任何类型的磨料颗粒(A)均可用于本发明组合物中,只要其具有上述综合性质。因此,磨料颗粒(A)可为有机或无机颗粒或有机-无机混杂颗粒。磨料颗粒(A)优选为无机颗粒。
原则上,任何类型的无机磨料颗粒(A)均可用于本发明组合物中,只要其具有上述综合性质。然而,最优选使用包含氧化铈或由氧化铈构成的无机磨料颗粒(A)。
包含氧化铈的磨料颗粒(A)可含有少量其他稀土金属氧化物。
包含氧化铈的磨料颗粒(A)优选为包含核的复合颗粒(A),所述核包含至少一种不同于氧化铈的其他磨料颗粒状材料或由其构成,所述其他磨料颗粒材料尤其为氧化铝、二氧化硅二氧化钛、氧化锆、氧化锌及其混合物。
这类复合颗粒(A)例如由如下文献已知:WO2005/035688A1;US6,110,396;US6,238,469B1;US6,645,265B1;K.S.Choi等,Mat.Res.Soc.Symp.Proc.,第671卷,2001Materials Research Society,M5.8.1至M5.8.10;S.-H.Lee等,J.Mater.Res.,第17卷第10期(2002),第2744-2749页;A.Jindal等,Journal of the Electrochemical Society,150(5)G314-G318(2003);Z.Lu,Journal of Materials Research,第18卷第10期,2003年10月,MaterialsResearch Society或S.Hedge等,Electrochemical and Solid-State Letters,7(12)G316-G318(2004)。
复合颗粒(A)最优选为包含选自氧化铝、二氧化硅二氧化钛、氧化锆、氧化锌及其混合物且具有20-100nm核尺寸的核的悬钩子型涂覆颗粒,其中所述核涂覆有粒度小于10nm的氧化铈颗粒。
本发明组合物中所用的磨料颗粒(A)的量可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。本发明组合物优选包含0.005-10重量%,更优选0.01-8重量%,最优选0.01-6重量%的磨料颗粒(A),所述重量百分比基于本发明组合物的总重量。
本发明组合物包含至少一种,优选一种阴离子磷酸盐分散剂(B)作为第二必要成分。
阴离子磷酸盐分散剂(B)优选选自水溶性缩合磷酸盐。
水溶性缩合磷酸盐(B)的实例为通式I的偏磷酸盐,尤其是铵盐、钠盐和钾盐:
[M+ n(PO3)n]   (I);
以及通式II和III的多聚磷酸盐:
M+ nPnO3n+1   (II);
M+H2PnO3n+1   (III);
其中M为铵、钠和钾且指数n为2-10,000。就式I、II和III的多聚磷酸盐而言,指数n优选为2-2,000,更优选2-300,最优选2-50,尤其是2-15,例如3-8。
特别合适的水溶性缩合磷酸盐(B)的实例为格雷姆盐(NaPO3)40-50、CalgonTM(NaPO3)15-20、Kurrol盐(NaPO3)n(其中n=约5000)以及六偏磷酸铵、六偏磷酸钠和六偏磷酸钾。
本发明组合物中的水溶性阴离子磷酸盐分散剂(B)的浓度可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。优选地,以使得氧化铈与阴离子磷酸盐分散剂(B)的重量比为10-2000,更优选为20-1000的量使用阴离子磷酸盐分散剂(B)。
作为第三必要成分,本发明组合物包含至少一种,优选一种多羟基醇组分(C)。
多羟基醇组分(C)选自:
(c1)选自水溶性和水分散性的,优选水溶性的脂族和脂环族的单体、二聚和低聚的在分子中具有至少4个,优选至少5个,最优选至少6个羟基的多元醇的多羟基醇,其中所述羟基在含水介质中不可解离;
(c2)如下物质的混合物:
(c21)至少一种,优选一种选自水溶性和水分散性的,优选水溶性的脂族和脂环族的在分子中具有至少2个,优选2或3个羟基的多元醇的多羟基醇,其中所述羟基在含水介质中不可解离;和
(c22)至少一种,优选一种选自线性和支化的氧化烯均聚物和共聚物(c221);和线性和支化的脂族和脂环族的聚(N-乙烯基酰胺)均聚物和共聚物(c222)的水溶性或水分散性的,优选水溶性的聚合物;和
(c3)(c1)和(c2)的混合物。
“不可解离”意指在中性水相中对于羟基的反应R-OH→R-O-+H+的解离常数非常低或实际上基本为0。
优选地,多羟基醇(c1)选自季戊四醇、糖醇、环多醇、碳水化合物以及甘油、三羟甲基丙烷、季戊四醇、糖醇和环多醇的二聚物和低聚物。
优选地,糖醇(c1)选自丁糖醇、戊糖醇、己糖醇、庚糖醇和辛糖醇。
更优选地,丁糖醇(c1)选自赤藓醇、苏糖醇及其混合物;戊糖醇(c1)选自阿拉伯糖醇、核糖醇、木糖醇及其混合物;己糖醇(c1)选自半乳糖醇、甘露糖醇、葡糖醇、蒜糖醇、阿卓糖醇、艾杜糖醇及其混合物。
优选地,二聚物(c1)选自甘油、三羟甲基丙烷、赤藓醇、苏糖醇和季戊四醇及其混合物的二聚物,以及麦芽糖醇、异麦芽酮糖醇、乳糖醇及其混合物。
更优选地,低聚物(c1)选自三-、四-、五-、六-、七-、八-、九-、十-、十一-和十二聚甘油、-三羟甲基丙烷、-赤藓醇、-苏糖醇和-季戊四醇及其混合物。
优选地,环多醇(c1)选自1,2,3,4-四羟基环己烷、1,2,3,4,5-五羟基环己烷、肌醇及其混合物。
更优选地,肌醇(c1)选自肌肉肌醇(myo-inositol)、青蟹肌醇(scyllo-inositol)、粘质肌醇(muco-inositol)、手性肌醇(chiro-inositol)、新肌醇(neo-inositol)、异肌醇(allo-inositol)、表肌醇(epi-inositol)和顺式肌醇(cis-inositol)及其混合物。最优选使用肌肉肌醇(c1)。
优选地,碳水化合物(c1)选自单糖、二糖、寡糖、多糖、脱氧糖和氨基糖,尤其是单糖(c1)。
优选地,单糖(c1)选自阿洛糖、阿卓糖、葡萄糖、甘露糖、艾杜糖、半乳糖和塔罗糖,尤其是半乳糖。
本发明组合物中多羟基醇(c1)的浓度可宽范围地改变且由此可最有利地满足本发明给定组合物和方法的特定要求。本发明组合物优选含有0.005-5重量%,更优选0.01-4重量%,最优选0.05-3重量%的多羟基醇(c1),其中重量百分数基于本发明组合物的总重量。
具有2-3个在含水介质中不可解离的羟基的水溶性或水分散性的脂族或脂环族多元醇(c21)优选选自乙二醇、丙二醇、二甘醇、三甘醇、双丙甘醇、三丙二醇、亚乙基亚丙基二醇、二亚乙基亚丙基二醇、亚乙基二亚丙基二醇、甘油、1,2,3-三羟基正丁烷、三羟甲基丙烷及其混合物,最优选乙二醇、甘油及其混合物。
本发明组合物中多元醇(c21)的浓度可宽范围地改变且由此可最有利地满足本发明给定组合物和方法的特定要求。多元醇(c21)的用量优选为0.05-5重量%,优选0.1-4重量%,最优选0.5-3重量%,其中重量百分数基于本发明组合物的总重量。
氧化烯均聚物或共聚物(c221)优选选自线性和支化的氧化乙烯和氧化丙烯均聚物和共聚物。
氧化乙烯-氧化丙烯共聚物(c221)可为含有聚氧化乙烯嵌段和聚氧化丙烯嵌段的无规共聚物、交替共聚物或嵌段共聚物。在所述氧化乙烯-氧化丙烯嵌段共聚物(c221)中,聚氧化乙烯嵌段优选具有10-15的亲水-亲脂平衡(HLB)值。聚氧化丙烯嵌段可具有28-约32的HLB值。
氧化烯均聚物(c221)优选为氧化乙烯聚合物如聚乙二醇(PEG)。
水溶性聚合物(c221)优选具有2000-1,000,000道尔顿,更优选5000-500,000道尔顿,最优选10,000-250,000道尔顿的重均分子量。
水溶性聚合物(c221)为常规且已知的市售材料。合适的水溶性聚合物(c22)描述于下列文献中:日本专利申请JP2001-240850A,权利要求2以及第[0007]-[0014]段;美国专利申请US2007/0077865A1,第1栏目页第[0008]段至第2页第[0010]段;美国专利申请US2006/0124594A1,第3页第[0036]段和[0037]段以及美国专利申请US2008/0124913A1,第3页第[0031]-[0033]段以及权利要求14;或者其如BASF Corporation的公司手册“PluronicTM&TetronicTMBlock Copolymer Surfactants,1996”或美国专利US2006/0213780A1所示,由BASF Corporation和BASF SE以商品名PluronicTM、TetronicTM和BasensolTM销售。
最优选使用聚乙二醇(PEG)作为聚合物(c221)。
本发明组合物还可仅包含聚合物(c221)与多羟基醇(c1)组合。
本发明组合物中的聚合物(c221)的浓度也可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。聚合物(c22)的用量优选为0.005-5重量%,优选0.01-4重量%,最优选0.05-3重量%,其中重量百分数基于本发明组合物的总重量。
脂族和脂环族的N-乙烯基酰胺单体,其为线性和支化的脂族和脂环族的聚(N-乙烯基酰胺)均聚物和共聚物(c222)的结构单元,优选选自N-乙烯基乙酰胺、N-乙烯基吡咯烷酮、N-乙烯基戊内酰胺、N-乙烯基己内酰胺、N-乙烯基琥珀酰亚胺及其混合物。
聚(N-乙烯基酰胺)共聚物(c222)可含有衍生自除N-乙烯基酰胺之外的常规和已知的烯属不饱和单体的单体单元,例如乙烯基酯和醚、丙烯酸和甲基丙烯酸酯、烯丙基酯和醚、烯烃,其可被卤原子或腈基取代,和乙烯类单体,条件是该类单体单元仅以水溶性不受损的量包含。
水溶性聚合物(c222)优选具有2000-1,000,000道尔顿,更优选5000-500,000道尔顿,最优选10,000-250,000道尔顿的重均分子量。
本发明组合物还可仅包含聚合物(c222)与多羟基醇(c1)组合。
本发明组合物中的聚合物(c222)的浓度也可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。聚合物(c22)的用量优选为0.005-5重量%,优选0.01-4重量%,最优选0.05-3重量%,其中重量百分数基于本发明组合物的总重量。
在本发明组合物中,多羟基醇(c1)与混合物(c2)的重量比也可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。重量比(c1):(c2)优选为100:1-1:100。
此外,在本发明组合物中,多元醇(c21)与聚合物(c221)或(c222)的重量比也可在宽范围内变化,且因此可最有利地调节以满足本发明给定组合物和方法的具体要求。重量比(c21):(c221)或(c222)优选为100:1-1:100。
本发明组合物可任选包含至少一种实质上不同于成分(A)、(B)和(C)的功能组分(D)。
功能组分(D)优选选自通常用于氧化铈基CMP淤浆中的化合物。
这类化合物(D)的实例例如公开于如下文献中:Y.N.Prasad等,Electrochemical and Solid-State Letters,9(12)G337-G339(2006);Hyun-Goo Kang等,Journal of Material Research,第22卷第3期,2007,第777-787页;S.Kim等,Journal of Colloid and Interface Science,319(2008),第48-52页;S.V.Babu等,Electrochemical and Solid-State Letters,7(12)G327-G330(2004);Jae-Dong Lee等,Journal of the ElectrochemicalSociety,149(8)G477-G481,2002;美国专利US5,738,800、US6,042,741、US6,132,637、US6,218,305B、US5,759,917、US6,689,692B1、US6,984,588B2、US6,299,659B1、US6,626,968B2、US6,436,835B1、US6,491,843B1、US6,544,892B2、US6,627,107B2、US6,616,514B1和US7,071,105B2;美国专利申请US2002/0034875A1、US2006/0144824A1、US2006/0207188A1、US2006/0216935A1、US2007/0077865A1、US2007/0175104A1、US2007/0191244A1和US2007/0218811A1;以及日本专利申请JP2005-336400A。
此外,功能组分(D)选自不同于颗粒(D)的有机、无机和有机-无机混杂磨料颗粒;具有下部临界会溶温度LCST或上部临界会溶温度UCST的材料;氧化剂;钝化剂;电荷反转剂;络合或螯合剂;摩擦剂;稳定剂;流变剂;表面活性剂;生物杀伤剂;金属阳离子和有机溶剂。
合适的有机磨料颗粒(D)及其有效量例如由美国专利申请US2008/0254628A1第4页第[0054]段或国际申请WO2005/014753A1已知,其中公开了由三聚氰胺和三聚氰胺衍生物(如乙酰胍胺、苯并胍胺和双氰胺)构成的固体颗粒。
合适的无机磨料颗粒(D)及其有效量例如由国际专利申请WO2005/014753A1第12页第1-8行或美国专利US6,068,787第6栏第41行至第7栏第65行已知。
合适的有机-无机混杂磨料颗粒(D)及其有效量例如由美国专利申请US2008/0254628A1第4页第[0054]段或US2009/0013609A1第3页第[0047]段至第6页第[0087]段已知。
合适的氧化剂(D)及其有效量例如由欧洲专利申请EP1036836A1第8页第[0074]段和第[0075]段或美国专利US6,068,787第4栏第40行至第7栏第45行或US7,300,601B2第4栏第18-34行已知。优选使用有机和无机过氧化物,更优选使用无机过氧化物。特别地,使用过氧化氢。
合适的钝化剂(D)及其有效量例如由美国专利US7,300,601B2第3栏第59行至第4栏第9行或美国专利申请US2008/0254628A1桥接第4页和第5页的第[0058]段已知。
合适的络合或螯合剂(D),其有时也称为摩擦剂(参见美国专利申请US2008/0254628A1第5页第[0061]段)或蚀刻剂(参见美国专利申请US2008/0254628A1第4页第[0054]段)及其有效量例如由美国专利US7,300,601B2第4栏第35-48行已知。最特别优选使用氨基酸,尤其是甘氨酸,以及此外双氰胺和含有至少1个,优选2个,更优选3个伯氨基的三嗪类,如三聚氰胺和水溶性胍胺类,尤其是三聚氰胺、甲酰胍胺、乙酰胍胺和2,4-二氨基-6-乙基-1,3,5-三嗪。
合适的稳定剂(D)及其有效量例如由美国专利US6,068,787第8栏第4-56行已知。
合适的流变剂(D)及其有效量例如由美国专利申请US2008/0254628A1第5页第[0065]段至第6页第[0069]段已知。
合适的表面活性剂(D)及其有效量例如由国际专利申请WO2005/014753A1第8页第23行至第10页第17行或美国专利US7,300,601B2第5栏第4行至第6栏第8行已知。
合适的多价金属离子(D)及其有效量例如由欧洲专利申请EP1036836A1第8页第[0076]段至第9页第[0078]段已知。
合适的有机溶剂(D)及其有效量例如由美国专利US7,361,603B2第7栏第32-48行或美国专利申请US2008/0254628A1第5页第[0059]段已知。
具有下部临界会溶温度LCST或上部临界会溶温度UCST的合适材料(D)例如描述于下列文献中:H. Mori,H. Iwaya,A. Nagai和T. Endo的论文Controlled synthesis of thermoresponsive polymers derived fromL-proline via RAFT polymerization,Chemical Communication,2005,4872-4874;或D. Schmaljohann的论文,Thermo- and pH-responsivepolymers and drug delivery,Advanced Drug Delivery Reviews,第58卷(2006),1655-1670或美国专利申请US 2002/0198328A1、US 2004/0209095A1、US 2004/0217009A1、US 2006/0141254A1、US 2007/0029198A1、US2007/0289875A1、US 2008/0249210A1、US 2008/0050435A1或US2009/0013609A1;美国专利US 5,057,560、US 5,788,82和US 6,682,642B2;国际专利申请WO 01/60926A1、WO 2004/029160A1、WO 2004/0521946A1、WO 2006/093242A2或WO 2007/012763A1;欧洲专利申请EP 0 583 814A1、EP 1 197 587B1和EP 1 942 179A1;或德国专利申请DE 26 10 705。
原则上,可使用任何已知的通常用于CMP领域中的电荷反转剂(D)。电荷反转剂(D)优选选自含有至少一个选自羧酸根、磺酸根、硫酸根和膦酸根的阴离子基团的单体化合物、低聚化合物和聚合化合物。
合适的生物杀伤剂(D)可选自水溶性或水分散性的N-取代的二氮烯
Figure BDA00002896334700171
(diazenium)二氧化物和N'-羟基-二氮烯
Figure BDA00002896334700172
氧化物盐。
存在的话,功能组分(D)的含量可变化。(D)的总量优选不超过10wt.%(“wt.%”意指“重量百分比”),更优选不超过2wt.%,最优选不超过0.5wt.%,特别是不超过0.1wt.%,例如不超过0.01wt.%,基于相应CMP组合物的总重量。(D)的总量优选为至少0.0001wt.%,更优选至少0.001wt.%,最优选至少0.008wt.%,尤其是至少0.05wt.%,例如至少0.3wt.%,基于相应组合物的总重量。
本发明组合物可任选包含至少一种实质上不同于成分(A)、(B)和(C)的pH调节剂或缓冲剂(E)。
合适的pH调节剂或缓冲剂(E)及其有效量例如由欧洲专利申请EP1036836A1第8页第[0080]、[0085]段和第[0086]段;国际专利申请WO2005/014753A1第12页第19-24行;美国专利申请US2008/0254628A1第6页第[0073]段或美国专利US7,300,601B2第5栏第33-63行已知。pH调节剂或缓冲剂(E)的实例为氢氧化钾、氢氧化铵、氢氧化四甲铵(TMAH)、硝酸和硫酸。
存在的话,pH调节剂或缓冲剂(E)的含量可变化。(E)的总量优选不超过20wt.%,更优选不超过7wt.%,最优选不超过2wt.%,尤其是不超过0.5wt.%,例如不超过0.1wt.%,基于相应CMP组合物的总重量。(E)的总量优选为至少0.001wt.%,更优选为至少0.01wt.%,最优选为至少0.05wt.%,尤其是至少0.1wt.%,例如至少0.5wt.%,基于相应组合物的总重量。
本发明组合物的制备没有任何特殊性,而是可通过将上述成分(A)、(B)和(C)以及任选的(D)和/或(E)溶解或分散于含水介质,尤其是去离子水中而进行。为此,可使用常规和标准的混合方法和混合设备,如搅拌釜、在线溶解器、高剪切高速搅拌机、超声混合器、均化器喷嘴或对流式混合器。由此获得的本发明组合物优选可滤经具有适当筛孔的过滤器,以移除粗粒状颗粒,如细分散的固体磨料颗粒(A)的附聚体或聚集体。
本发明组合物非常适于本发明方法。
在本发明方法中,使电子、机械和光学器件,尤其是电子器件,最优选集成电路器件的衬底与本发明组合物接触至少一次并抛光,尤其是化学机械抛光,直至获得所需平坦度。
本发明方法在具有由低k或超低k氧化硅材料构成的隔离层和作为阻断或阻挡层的氮化硅层的硅半导体晶片的CMP中表现出其特殊优势。
合适的低k或超低k材料及制备绝缘介电层的合适方法例如描述于美国专利申请US2005/0176259A1第2页第[0025]-[0027]段、US2005/0014667A1第1页第[0003]段、US2005/0266683A1第1页第[0003]段和第2页第[0024]段或US2008/0280452A1第[0024]-[0026]段或美国专利US7,250,391B2第1栏第49-54行或欧洲专利申请EP1306415A2第4页第[0031]段中。
本发明方法尤其适于需要在图案化晶片衬底上相对于氮化硅选择性移除二氧化硅的浅槽隔离(STI)。在该方法中,用介电材料如二氧化硅过量填充经蚀刻的沟槽,并使用氮化硅阻挡膜作为阻断层而抛光。在该优选的实施方案中,在从阻挡膜清除二氧化硅同时使暴露的氮化硅和沟槽氧化硅移除降至最低的情况下结束本发明方法。
因此,本发明方法表现出大于50,优选大于75,最优选大于100的氧化物对氮化硅选择性。
本发明方法没有特殊性,而是可用通常用于具有IC的半导体晶片制造中的CMP的方法和设备进行。
正如本领域所已知的那样,用于CMP的典型设备由覆盖有抛光垫的旋转平台构成。将晶片以其上面朝下地面向抛光垫的方式安装在载体或夹头上。载体将晶片固定在水平位置。抛光和夹持装置的该特殊设置也称为硬平台设计。载体可保持位于载体保留表面与未抛光晶片表面之间的载体垫。该垫可充当晶片的缓冲垫。
在载体下方,通常也水平放置较大直径的平台并提供与待抛光晶片表面平行的表面。其抛光垫在平坦化工艺期间与晶片表面接触。在本发明CMP方法期间,本发明组合物以连续流形式或以逐滴方式施加至抛光垫上。
使载体与平台二者围绕由所述载体和平台垂直延伸的相应轴旋转。旋转的载体轴可相对于旋转的平台保持固定或者可相对于平台水平摆动。载体的旋转方向通常(但并非必须)与平台的旋转方向相同。载体和平台的旋转速率通常(但并非必须)设定为不同值。
平台的温度通常设定为10-70°C的温度。
其他细节参见国际专利申请WO2004/063301A1,尤其是第16页第[0036]段至第18页第[0040]段以及图1。
借助本发明方法可获得具有包含图案化的低k和超低k材料层(尤其是二氧化硅层)的IC的半导体晶片,其具有优异的平坦度。因此,可获得也具有优异平坦度的铜镶嵌图案,且在成品中,IC具有优异的电功能性。
实施例和对比试验
对比试验C1-C6
对比含水抛光组合物C1-C6的制备
为了制备对比含水抛光组合物C1-C6,将氧化铈(由动态激光散射测得的平均粒度d50为120-140nm)、六偏磷酸钠(PP;氧化铈与PP的重量比=200,下文称为PP200;氧化铈与PP的重量比=300,下文称为PP300)和肌醇分散或溶解于超纯水中。用量汇总于表1中。
表1:对比含水抛光组合物C1-C6的组成
组合物编号 氧化铈/重量% PP200 PP300 肌醇/重量% pH
C1 0.5 - - - 5
C2 0.5 + - - 6.5
C3 0.15 - - - 5
C4 0.15 - - 1 5
C5 0.125 - - - 5
C6 0.125 - + - 6
实施例1-11
含水抛光组合物1-11的制备
为了制备含水抛光组合物1-11,将氧化铈(由动态激光散射测得的平均粒度d50为120-140nm)、六偏磷酸钠(PP;氧化铈与PP的重量比=200,下文称为PP200;氧化铈与PP的重量比=300,下文称为PP300)和肌醇分散或溶解于超纯水中。用量汇总于表2中。
表2:含水抛光组合物1-11的组成
组合物编号 氧化铈/重量% PP200 PP300 肌醇/重量% pH
1 0.125 + - 1 6.5
2 0.125 - + 0.05 6
3 0.125 - + 0.1 6
4 0.125 - + 0.2 6
5 0.125 - + 0.5 6
6 0.125 - + 0.8 6
7 0.125 - + 1 6
8 0.125 - + 2 6
9 0.125 - + 2 4
10 0.125 - + 2 6
11 0.125 - + 2 9
实施例1-11的含水抛光组合物1-11极其适用于化学机械抛光用于电子、机械和光学器件的衬底。
实施例12-22和对比例C7-C12
二氧化硅涂覆空白晶片和氮化硅涂覆空白晶片的CMP和氧化物对氮化物选择性
表3显示出含水抛光组合物用于实施例12-22和对比试验C7-C12。
表3:用于实施例12-22和对比试验C7-C12的含水抛光组合物和二氧化硅层类型
Figure BDA00002896334700211
HDP:高密度等离子体二氧化硅;
TEOS:原硅酸四乙酯CVD类型氧化物
在下文中,CMP使用如下工艺参数。
实施例12和对比试验C7-C10:
-抛光设备:Strasbaugh6EGnHance(旋转型):
-平台速率:93rpm;
-载体速率:87rpm;
-由Rohm&Haas生产的IC1000/Suba400K凹槽抛光垫;
-使用S603M金刚石调节器原位调节;
-淤浆流动速率:200ml/分钟;
-衬底:二氧化硅空白晶片(参见表3)和500nm CVD氮化硅空白晶片,来自Montco Silicon;
-下压力:2.7psi(185.143毫巴);C9:1.5psi(102.86毫巴);
-抛光时间:1分钟。
实施例13-22和对比试验C11-C12:
-抛光设备:AMAT Mirra(旋转型):
-平台速率:100rpm;
-载体速率:90rpm;
-由Rohm&Haas生产的IC1000/Suba400K凹槽抛光垫;
-使用S603M金刚石调节器原位调节;
-淤浆流动速率:200ml/分钟;
-衬底:二氧化硅涂覆空白晶片(参见表3)和500nm CVD氮化硅涂覆空白晶片;
-下压力:2psi(137.143毫巴);
-抛光时间:1分钟。
通过激光干涉仪(FilmTekTM2000)测量材料移除速率(MRR)。
表4提供了所得MRR的总结。
表4:二氧化硅涂覆空白晶片和氮化硅涂覆空白晶片的CMP和氧化物对氮化物选择性
表中汇总的结果表明高的氧化物对氮化物选择性不能用仅包含氧化铈、氧化铈和PP以及氧化铈和肌醇的含水抛光组合物实现。与此相反,用包含氧化铈、PP和肌醇的抛光组合物可容易地实现甚至大于50的高的氧化物对氮化物选择性。当抛光组合物的pH提高至9时,氧化物对氮化物选择性甚至可提高更多。
用包含氧化铈、六偏磷酸钠PP、甘油和聚乙二醇PEG和/或聚乙烯基吡咯烷酮PVP的含水抛光组合物也可实现该高的氧化物对氮化物选择性。
实施例23-26
含水抛光组合物12-15的制备
为了制备含水抛光组合物12-15,将氧化铈(由动态激光散射测得的平均粒度d50为120-140nm)、六偏磷酸钠(PP;氧化铈与PP的重量比=250,下文称为PP250)、肌醇、半乳糖和Protectol KD(N'-羟基-二氮烯氧化物盐;
来自BASF SE的生物杀伤剂)分散或溶解于超纯水中。用量汇总于表2中。
表5:实施例23-26的含水抛光组合物1-11的组成
实施例23-26的含水抛光组合物12-15极其适用于化学机械抛光用于电子、机械和光学器件的衬底。
实施例27-30
二氧化硅涂覆空白晶片和氮化硅涂覆空白晶片的CMP和氧化物对氮化物选择性
CMP如实施例13-22中所述那样进行,仅平台速率设定为63rpm并且载体速率设定为60rpm。所得MRR汇总于表6中。
表6:二氧化硅涂覆空白晶片和氮化硅涂覆空白晶片的CMP和氧化物对氮化物选择性
Figure BDA00002896334700241
表6的结果表明已通过使用环多醇(参见实施例25)或单糖(参见实施例27)实现的高的氧化物对氮化物选择性通过联合使用环多醇和单糖(参见实施例28和30)甚至可提高更多。

Claims (19)

1.一种pH值为3-11的含水抛光组合物,其包含:
(A)至少一种磨料颗粒,当其分散于不含组分(B)且pH值为3-9的含水介质中时带正电荷,如电泳迁移率所证实的那样;
(B)至少一种阴离子磷酸盐分散剂;和
(C)至少一种多羟基醇组分,其选自:
(c1)选自水溶性和水分散性的脂族和脂环族的单体、二聚和低聚的具有至少4个在含水介质中不可解离的羟基的多元醇的多羟基醇;
(c2)如下物质的混合物:
(c21)至少一种选自水溶性和水分散性的脂族和脂环族的具有至少2个在含水介质中不可解离的羟基的多元醇的多羟基醇;和
(c22)至少一种选自线性和支化的氧化烯均聚物和共聚物(c221);和线性和支化的脂族和脂环族的聚(N-乙烯基酰胺)均聚物和共聚物(c222)的水溶性或水分散性聚合物;和
(c3)(c1)和(c2)的混合物。
2.根据权利要求1的含水抛光组合物,其特征在于磨料颗粒(A)为无机颗粒。
3.根据权利要求1或2的含水抛光组合物,其特征在于无机磨料颗粒(A)包含氧化铈或由氧化铈构成且具有由动态激光散射所测定的1-1000nm的平均粒径。
4.根据权利要求1-3中任一项的含水抛光组合物,其特征在于阴离子磷酸盐分散剂(B)选自水溶性缩合磷酸盐。
5.根据权利要求4的含水抛光组合物,其特征在于水溶性缩合磷酸盐(B)选自通式I的偏磷酸盐:
[M+ n(PO3)n]   (I);
以及通式II和III的多聚磷酸盐:
M+ nPnO3n+1   (II);
M+H2PnO3n+1   (III);
其中M为铵、钠和钾且指数n为2-10,000。
6.根据权利要求1-5中任一项的含水抛光组合物,其特征在于水溶性和水分散性的脂族和脂环族的单体、二聚和低聚的具有至少4个在含水介质中不可解离的羟基的多元醇(c1)选自赤藓醇、季戊四醇、糖醇、环多醇、碳水化合物以及甘油、赤藓醇、季戊四醇、糖醇、环多醇的二聚物和低聚物。
7.根据权利要求6的含水抛光组合物,其特征在于糖醇(c1)选自丁糖醇、戊糖醇、己糖醇、庚糖醇和辛糖醇;环多醇(c1)选自肌醇;和碳水化合物(c1)选自单糖、二糖、寡糖、多糖、脱氧糖和氨基糖。
8.根据权利要求7的含水抛光组合物,其特征在于单糖(c1)选自阿洛糖、阿卓糖、葡萄糖、甘露糖、艾杜糖、半乳糖和塔罗糖。
9.根据权利要求1-8中任一项的含水抛光组合物,其特征在于具有2-3个在含水介质中不可解离的羟基的水溶性或水分散性的脂族或脂环族多元醇(c21)选自乙二醇、丙二醇、二甘醇、三甘醇、双丙甘醇、三丙二醇、亚乙基亚丙基二醇、二亚乙基亚丙基二醇、亚乙基二亚丙基二醇、甘油、1,2,3-三羟基正丁烷、三羟甲基丙烷及其混合物;水溶性或水分散性的线性或支化的氧化烯均聚物或共聚物(c221)选自氧化乙烯和氧化丙烯均聚物和共聚物;并且线性或支化的脂族或脂环族的聚(N-乙烯基酰胺)均聚物或共聚物(c222)选自脂族和脂环族的选自N-乙烯基乙酰胺、N-乙烯基吡咯烷酮、N-乙烯基戊内酰胺、N-乙烯基己内酰胺、N-乙烯基琥珀酰亚胺及其混合物的N-乙烯基酰胺单体的均聚物和共聚物。
10.根据权利要求1-9中任一项的含水抛光组合物,其特征在于其包含至少一种不同于组分(A)、(B)和(C)的pH调节剂或缓冲剂(E)。
11.根据权利要求1-10中任一项的含水抛光组合物,其特征在于其包含至少一种不同于组分(A)、(B)和(C)的功能组分(D)。
12.根据权利要求11的含水抛光组合物,其特征在于功能组分(D)选自不同于颗粒(A)的有机、无机和有机-无机混杂磨料颗粒、具有下部临界会溶温度LCST或上部临界会溶温度UCST的材料、氧化剂、钝化剂、电荷反转剂、络合或螯合剂、摩擦剂、稳定剂、流变剂、表面活性剂、生物杀伤剂、金属阳离子和有机溶剂。
13.根据权利要求12的含水抛光组合物,其特征在于生物杀伤剂(D)选自水溶性或水分散性的N-取代的二氮烯
Figure FDA00002896334600031
二氧化物和N'-羟基-二氮烯
Figure FDA00002896334600032
氧化物盐。
14.一种抛光用于电子、机械和光学器件的衬底的方法,通过使所述衬底与含水抛光组合物接触至少一次并抛光所述衬底,直至获得所需的平坦度而进行,其特征在于使用根据权利要求1-13中任一项的含水抛光组合物。
15.根据权利要求14的方法,其特征在于所述衬底包含至少一个含有至少一种氧化硅介电材料或由至少一种氧化硅介电材料构成的层和至少一个含有氮化硅或由氮化硅构成的层。
16.根据权利要求15的方法,其特征在于其氧化物对氮化物的选择性为大于50。
17.根据权利要求13-16中任一项的方法,其特征在于所述电子器件为集成电路器件、液晶面板、有机电致发光面板、印刷电路板、微机械、DNA芯片、微装置和磁头;所述机械器件为高精度机械器件;且所述光学器件为光学玻璃如光掩模、透镜和棱镜,无机导电膜如氧化铟锡(ITO),光集成电路,光开关元件,光波导,光学单晶如光纤和闪烁体的端面,固态激光器单晶,用于蓝色激光LED的蓝宝石衬底,半导体单晶和用于磁盘的玻璃基材。
18.根据权利要求17的方法,其特征在于所述集成电路器件包含具有尺寸小于50nm的结构且具有大规模集成度或超大规模集成度的集成电路。
19.根据权利要求1-13中任一项的含水抛光组合物在制造电子、机械和光学器件中的用途。
CN201180043273.9A 2010-09-08 2011-09-06 化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法 Expired - Fee Related CN103097476B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072110P 2010-09-08 2010-09-08
US61/380,721 2010-09-08
PCT/IB2011/053884 WO2012032461A1 (en) 2010-09-08 2011-09-06 Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices

Publications (2)

Publication Number Publication Date
CN103097476A true CN103097476A (zh) 2013-05-08
CN103097476B CN103097476B (zh) 2016-02-17

Family

ID=45810173

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180043273.9A Expired - Fee Related CN103097476B (zh) 2010-09-08 2011-09-06 化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法

Country Status (11)

Country Link
US (1) US20130200038A1 (zh)
EP (1) EP2614121B1 (zh)
JP (1) JP5965907B2 (zh)
KR (1) KR101908280B1 (zh)
CN (1) CN103097476B (zh)
IL (1) IL224615B (zh)
MY (1) MY170196A (zh)
RU (1) RU2607214C2 (zh)
SG (2) SG188206A1 (zh)
TW (1) TWI538971B (zh)
WO (1) WO2012032461A1 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017147767A1 (en) * 2016-03-01 2017-09-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
CN107532066A (zh) * 2015-05-08 2018-01-02 信越化学工业株式会社 合成石英玻璃基板用研磨剂以及合成石英玻璃基板的研磨方法
CN108587478A (zh) * 2018-07-03 2018-09-28 中国人民解放军国防科技大学 一种改性纳米二氧化硅复合抛光液及其应用
CN109536038A (zh) * 2018-11-30 2019-03-29 东莞市晶博光电有限公司 一种抛光液及采用该抛光液的抛光方法
CN109831914A (zh) * 2016-09-29 2019-05-31 花王株式会社 研磨液组合物
CN110655868A (zh) * 2018-06-29 2020-01-07 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN110655869A (zh) * 2018-06-29 2020-01-07 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN110655870A (zh) * 2018-06-29 2020-01-07 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN113004802A (zh) * 2019-12-20 2021-06-22 安集微电子(上海)有限公司 一种化学机械抛光液
WO2022121822A1 (zh) * 2020-12-11 2022-06-16 安集微电子(上海)有限公司 一种化学机械抛光液及其使用方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2614122A4 (en) * 2010-09-08 2014-01-15 Basf Se AQUEOUS CLEANSING COMPOSITIONS WITH N-SUBSTITUTED DIAZENIUM DIOXIDE AND / OR N'-HYDROXY DIAZENIUM OXIDE SALTS
US9070632B2 (en) 2010-10-07 2015-06-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
EP2753670B1 (en) 2011-09-07 2016-06-22 Basf Se A chemical mechanical polishing (cmp) composition comprising a glycoside
KR101931930B1 (ko) * 2011-12-21 2018-12-24 바스프 에스이 Cmp 조성물의 제조 방법 및 그의 적용
JP2016178099A (ja) * 2013-08-09 2016-10-06 コニカミノルタ株式会社 Cmp用研磨液
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
KR20160114709A (ko) * 2014-01-31 2016-10-05 바스프 에스이 폴리(아미노산)을 포함하는 화학 기계적 연마(cmp) 조성물
TWI652336B (zh) * 2014-05-08 2019-03-01 日商花王股份有限公司 Sapphire plate slurry composition
WO2016019211A1 (en) * 2014-08-01 2016-02-04 3M Innovative Properties Company Polishing solutions and methods of using same
US9551075B2 (en) * 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
JP2017013183A (ja) * 2015-07-01 2017-01-19 不二越機械工業株式会社 研磨装置
JP6646062B2 (ja) * 2015-11-10 2020-02-14 信越化学工業株式会社 合成石英ガラス基板用研磨剤及びその製造方法、並びに合成石英ガラス基板の研磨方法
KR102574842B1 (ko) * 2015-12-17 2023-09-06 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용한 연마 방법
JP2019050307A (ja) * 2017-09-11 2019-03-28 株式会社フジミインコーポレーテッド 研磨方法、ならびに研磨用組成物およびその製造方法
US10428241B2 (en) 2017-10-05 2019-10-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions containing charged abrasive
JP7045171B2 (ja) 2017-11-28 2022-03-31 花王株式会社 研磨液組成物
KR102442600B1 (ko) * 2018-11-09 2022-09-14 주식회사 케이씨텍 연마용 슬러리 조성물
US11718767B2 (en) * 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof
US11326076B2 (en) 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU490811A1 (ru) * 1973-07-06 1975-11-05 Харьковский Институт Радиоэлектроники Паста дл доводки и полировки деталей
CN1251380A (zh) * 1998-10-05 2000-04-26 Tdk株式会社 磨料料浆及其制备方法
US6110832A (en) * 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6273786B1 (en) * 1998-11-10 2001-08-14 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US20020192942A1 (en) * 1999-08-31 2002-12-19 Micron Technology, Inc. Composition compatible with aluminum planarization and methods therefore
CN1417278A (zh) * 2002-12-13 2003-05-14 清华大学 一种用于存储器硬盘的磁盘基片抛光浆料
US20050287931A1 (en) * 2002-10-25 2005-12-29 Showa Denko K.K. Polishing slurry and polished substrate
US20060135485A1 (en) * 2002-10-02 2006-06-22 Ashworth David W Microbicidal compositions and their use
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
CN101033374A (zh) * 2007-04-13 2007-09-12 中国地质大学(武汉) 一种高纯度纳米金刚石抛光液及其制备方法
CN100389161C (zh) * 2003-03-31 2008-05-21 福吉米株式会社 抛光组合物
CN101291778A (zh) * 2005-10-19 2008-10-22 日立化成工业株式会社 氧化铈浆料、氧化铈抛光液以及使用其抛光衬底的方法
WO2009110729A1 (en) * 2008-03-06 2009-09-11 Lg Chem, Ltd. Cmp slurry and a polishing method using the same
EP2131389A1 (en) * 2007-03-26 2009-12-09 JSR Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing method for semiconductor device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6471735B1 (en) * 1999-08-17 2002-10-29 Air Liquide America Corporation Compositions for use in a chemical-mechanical planarization process
US6540935B2 (en) * 2001-04-05 2003-04-01 Samsung Electronics Co., Ltd. Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
KR100464429B1 (ko) * 2002-08-16 2005-01-03 삼성전자주식회사 화학 기계적 폴리싱 슬러리 및 이를 사용한 화학 기계적폴리싱 방법
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
KR101134827B1 (ko) * 2003-07-11 2012-04-13 더블유.알. 그레이스 앤드 캄파니-콘. 화학 기계적 연마를 위한 연마재 입자
KR100637772B1 (ko) * 2004-06-25 2006-10-23 제일모직주식회사 반도체 sti 공정용 고선택비 cmp 슬러리 조성물
DE102005032427A1 (de) * 2005-07-12 2007-01-18 Degussa Ag Aluminiumoxid-Dispersion
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
DE102006061891A1 (de) * 2006-12-28 2008-07-03 Basf Se Zusammensetzung zum Polieren von Oberflächen aus Siliziumdioxid
KR101256551B1 (ko) * 2008-03-06 2013-04-19 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 연마 방법
JP2011142284A (ja) * 2009-12-10 2011-07-21 Hitachi Chem Co Ltd Cmp研磨液、基板の研磨方法及び電子部品
US20110244184A1 (en) * 2010-04-01 2011-10-06 Solarworld Industries America, Inc. Alkaline etching solution for texturing a silicon wafer surface

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU490811A1 (ru) * 1973-07-06 1975-11-05 Харьковский Институт Радиоэлектроники Паста дл доводки и полировки деталей
CN1251380A (zh) * 1998-10-05 2000-04-26 Tdk株式会社 磨料料浆及其制备方法
US6273786B1 (en) * 1998-11-10 2001-08-14 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6110832A (en) * 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US20020192942A1 (en) * 1999-08-31 2002-12-19 Micron Technology, Inc. Composition compatible with aluminum planarization and methods therefore
US20060135485A1 (en) * 2002-10-02 2006-06-22 Ashworth David W Microbicidal compositions and their use
US20050287931A1 (en) * 2002-10-25 2005-12-29 Showa Denko K.K. Polishing slurry and polished substrate
CN1417278A (zh) * 2002-12-13 2003-05-14 清华大学 一种用于存储器硬盘的磁盘基片抛光浆料
CN100389161C (zh) * 2003-03-31 2008-05-21 福吉米株式会社 抛光组合物
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
CN101291778A (zh) * 2005-10-19 2008-10-22 日立化成工业株式会社 氧化铈浆料、氧化铈抛光液以及使用其抛光衬底的方法
EP2131389A1 (en) * 2007-03-26 2009-12-09 JSR Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing method for semiconductor device
CN101033374A (zh) * 2007-04-13 2007-09-12 中国地质大学(武汉) 一种高纯度纳米金刚石抛光液及其制备方法
WO2009110729A1 (en) * 2008-03-06 2009-09-11 Lg Chem, Ltd. Cmp slurry and a polishing method using the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107532066A (zh) * 2015-05-08 2018-01-02 信越化学工业株式会社 合成石英玻璃基板用研磨剂以及合成石英玻璃基板的研磨方法
US10683437B2 (en) 2015-05-08 2020-06-16 Shin-Etsu Chemical Co., Ltd. Polishing agent for synthetic quartz glass substrate and method for polishing synthetic quartz glass substrate
CN107532066B (zh) * 2015-05-08 2020-06-02 信越化学工业株式会社 合成石英玻璃基板用研磨剂以及合成石英玻璃基板的研磨方法
WO2017147767A1 (en) * 2016-03-01 2017-09-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
CN109831914A (zh) * 2016-09-29 2019-05-31 花王株式会社 研磨液组合物
CN109831914B (zh) * 2016-09-29 2021-03-12 花王株式会社 研磨液组合物
CN110655868A (zh) * 2018-06-29 2020-01-07 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN110655869A (zh) * 2018-06-29 2020-01-07 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN110655870A (zh) * 2018-06-29 2020-01-07 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN114634765A (zh) * 2018-06-29 2022-06-17 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN114634765B (zh) * 2018-06-29 2024-04-02 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷化学机械抛光
CN108587478A (zh) * 2018-07-03 2018-09-28 中国人民解放军国防科技大学 一种改性纳米二氧化硅复合抛光液及其应用
CN108587478B (zh) * 2018-07-03 2020-09-25 中国人民解放军国防科技大学 一种改性纳米二氧化硅复合抛光液及其应用
CN109536038A (zh) * 2018-11-30 2019-03-29 东莞市晶博光电有限公司 一种抛光液及采用该抛光液的抛光方法
CN113004802A (zh) * 2019-12-20 2021-06-22 安集微电子(上海)有限公司 一种化学机械抛光液
CN113004802B (zh) * 2019-12-20 2024-04-12 安集微电子(上海)有限公司 一种化学机械抛光液
WO2022121822A1 (zh) * 2020-12-11 2022-06-16 安集微电子(上海)有限公司 一种化学机械抛光液及其使用方法

Also Published As

Publication number Publication date
JP5965907B2 (ja) 2016-08-10
RU2013115239A (ru) 2014-10-20
US20130200038A1 (en) 2013-08-08
EP2614121B1 (en) 2019-03-06
WO2012032461A1 (en) 2012-03-15
TW201213469A (en) 2012-04-01
MY170196A (en) 2019-07-09
KR20130133174A (ko) 2013-12-06
TWI538971B (zh) 2016-06-21
KR101908280B1 (ko) 2018-10-16
IL224615B (en) 2018-11-29
JP2013541609A (ja) 2013-11-14
SG188206A1 (en) 2013-04-30
EP2614121A1 (en) 2013-07-17
RU2607214C2 (ru) 2017-01-10
EP2614121A4 (en) 2016-03-09
SG10201506169XA (en) 2015-09-29
CN103097476B (zh) 2016-02-17

Similar Documents

Publication Publication Date Title
CN103097476B (zh) 化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法
CN103249790A (zh) 用于化学机械抛光包含氧化硅电介质和多晶硅膜的基底的含水抛光组合物和方法
CN103189457B (zh) 用于化学机械抛光电子、机械和光学器件用基底材料的含水抛光组合物和方法
CN103080256B (zh) 用于化学机械抛光包含氧化硅电介质和多晶硅膜的衬底的含水抛光组合物和方法
JP6445004B2 (ja) セリアを含有する研磨粒子を含むcmp組成物
KR101461261B1 (ko) 화학 기계 연마용 수계 분산체 및 화학 기계 연마 방법
JP4123685B2 (ja) 化学機械研磨用水系分散体
KR20080108598A (ko) 화학 기계 연마용 수계 분산체 및 화학 기계 연마 방법, 및화학 기계 연마용 수계 분산체를 제조하기 위한 키트
CN103249789B (zh) 含水抛光组合物及化学机械抛光具有图案化或未图案化低k电介质层的基材的方法
JP2007088424A (ja) 化学機械研磨用水系分散体、該水系分散体を調製するためのキット、化学機械研磨方法、および半導体装置の製造方法
JP6035346B2 (ja) 半導体装置の製造方法及びcmp組成物の使用方法
CN103210047A (zh) 含n取代的二氮烯*二氧化物和/或n’-羟基-二氮烯*氧化物盐的含水抛光组合物
TW201250809A (en) Method for forming through-base wafer vias
JP2008187191A (ja) 化学機械研磨用水系分散体
JP2009012159A (ja) 多層回路基板の製造に用いる化学機械研磨用水系分散体、基板の研磨方法および多層回路基板
TWI565770B (zh) 水性研磨組成物及用來化學機械研磨具有經圖案化或未經圖案化低k介電層之基板之方法
TWI583755B (zh) 用於將含氧化矽介電質及多晶矽薄膜之基板化學機械拋光的水性拋光組成物及方法
JP2010166087A (ja) 化学機械研磨用水系分散体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160217

Termination date: 20190906