CN102110600B - 采用间隔物图案化技术制造半导体装置的方法 - Google Patents

采用间隔物图案化技术制造半导体装置的方法 Download PDF

Info

Publication number
CN102110600B
CN102110600B CN201010288339.8A CN201010288339A CN102110600B CN 102110600 B CN102110600 B CN 102110600B CN 201010288339 A CN201010288339 A CN 201010288339A CN 102110600 B CN102110600 B CN 102110600B
Authority
CN
China
Prior art keywords
pattern
embedded
slant
peripheral
opposite
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201010288339.8A
Other languages
English (en)
Other versions
CN102110600A (zh
Inventor
姜春守
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN102110600A publication Critical patent/CN102110600A/zh
Application granted granted Critical
Publication of CN102110600B publication Critical patent/CN102110600B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明提供一种制造半导体装置的方法,其使用光学临近效应校正以形成高度集成且不易发生桥接缺陷(bridge defect)的单元图案。该方法包括:获得单元图案及外围区的外围图案的目标布局,该单元图案在单元区中成行;形成倾斜图案,其交替地重叠单元图案的行,以及形成外围图案的相反图案;附着间隔物至倾斜图案及相反图案的侧壁;通过充填间隔物之间的间隙,在倾斜图案之间形成第一嵌埋图案,并且在相反图案周围形成第二嵌埋图案;以及通过切割及分割倾斜图案及第一嵌埋图案的中间部分而形成单元图案,并且通过移除相反图案,设定具有第二嵌埋图案的外围图案。

Description

采用间隔物图案化技术制造半导体装置的方法
技术领域
本发明的示范实施例涉及半导体装置的制造,具体地,涉及一种使用间隔物图案化技术制造半导体装置的方法。
背景技术
对高容量的半导体存储装置的需求日益增加,因此增加这些半导体存储装置的集成密度为人们所关注。为了增加半导体存储装置的集成密度,已经采用许多不同的方法,以通过减小晶片尺寸和/或改变单元结构而在单一晶片上形成多个存储单元。对于通过改变单元结构增加集成密度的方法来说,已经进行尝试通过改变有源区的平面布置或改变单元布局来减小单元面积。这些方法之一将有源区的布局从8F2布局改变成6F2布局。具有6F2布局的装置可被定义为具有这样的单位单元的半导体装置,该单位单元具有3F的位线长度方向及2F的字线长度方向,因此其面积为6F2。具有6F2布局的DRAM具有倾斜设置的有源区以及设置在一个有源区内的两个单位单元。当与具有8F2布局的DRAM相比较时,具有6F2布局的DRAM的集成密度较高,因为两个存储节点接触插塞设置在位线之间,且该存储节点接触插塞通过有源区内的位线接触。然而,虽然6F2布局减小了晶片尺寸且因此增加了生产率,但相邻的有源区变得彼此非常靠近。因为有源区变得更靠近,所以光学临近效应(optical proximity effect,OPE)会大大地影响曝光工艺。因此,为了形成具有期望形状的图案,对进行光学临近效应修正(optical proximitycorrection,OPC)的需求增加。
因为对进行OPC的需求,所以在具有40nm以下6F2布局的DRAM的装置隔离工艺期间,甚至在浸没式曝光设备中,进行单一曝光工艺也变得更加困难,因为装置隔离区之间的节距小于8F2布局的节距。因此,采用间隔物图案化技术(spacer patterning technique,SPT)。间隔物图案化技术使用正向方法(positive method)或负向方法来进行。因为负向方法可以为掩模工艺减少一个步骤,其对工艺简化来说是大大有利的。然而,在根据负向方法的间隔物图案化技术中,分隔的临界尺寸(critical dimension,CD)直接影响有源区的临界尺寸。具体而言,在当前的间隔物图案化技术所采用的分隔形成工艺中,分隔掩模仅施加到将形成有源区的单元垫区(cell mat region),而分隔掩模不施加到单元垫区之外的区域,例如核心区及外围区。因此,在分隔形成工艺中图案形成在单元区中,而在核心区及外围区中没有图案形成。在应用切割掩模时,图案才形成在核心区及外围区中。
在此情况下,分隔的临界尺寸本身被转移到单元块边缘区(其是单元垫区的边缘区)中的有源区上,且甚至在只有一个小小的错误存在于OPC工艺中时,就会直接影响临界尺寸一致性。因此,在临界尺寸一致性方面会发生缺陷,或者图案窄化或桥接缺陷会发生。参照图1,其显示在间隔物图案化技术中通过负向方法(a)及正向方法(b)所形成的单元块边缘区,桥接缺陷发生在单元块边缘区A1及A2中。
参照图1,单元垫的中心区中的图案100及110正常形成,而在单元块边缘区A1及A2(单元垫的边缘区)中,相邻的图案耦接在一起。也就是,桥接缺陷105及115会发生在单元块边缘区A1及A2中。在单元块边缘区中所发生的缺陷,例如,桥接缺陷,起因于每个区域中大的掩模CD差、OPC的影响或分隔掩模中不同的开口率。由于掩模的制造包括使用电子束的曝光工艺,因此会发生光学临近效应。这会导致单元块边缘区中的掩模CD差。当单元块边缘区的方向上的光学临近效应及掩模CD差大于单元中心区时,局部的CD一致性被降低,且桥接缺陷105及115会如图1所示地发生。
如图2的表所示,当分隔掩模仅被应用到单元垫区时,在平均单元块边缘区中的整个晶片CD一致性(10.89nm)是在单元垫区中的平均中心区的晶片临界尺寸一致性的标准差(3σ)(4.78nm)的大约两倍。这是因为单元块边缘区的掩模CD改变了,此改变是由于掩模的制造中曝光工艺使用电子束所导致的光学临近效应的影响所致。由于掩模临界尺寸的改变,局部的临界尺寸一致性特性在单元块边缘区的方向上被降低。而且,在分隔掩模中,在单元垫区存在开口,但剩余区域被挡住。因此,开口率在每个区域之间有所差异。由于每个区域的不同的开口率,所以临界尺寸差由在曝光工艺期间会发生的闪光噪声(flare noise)所导致。因此,临界尺寸一致性特性可能被劣化,结果桥接缺陷可能发生在单元边缘区中。
另外,因为在分隔工艺中图案只形成在单元垫(mat)区中而没有形成在剩余区域中,所以单元垫区的图案密度不同于剩余区域的图案密度。如果接续分隔掩模之后的沉积、蚀刻及平坦化工艺,使得图案密度在各个区域彼此不同,则各个区域具有不同的偏离值及轮廓。因此,会影响一致性,这会降低有源区的临界尺寸一致性。由化学收缩(RELACS)工艺辅助的分辨率增强光刻被用于核心区及外围区上的切割掩模中。因此,在最小线条图案的情况中,由于临界尺寸必须通过RELACS偏离来设定为较小,因此难以确保满足要求的工艺余量。
发明内容
本发明的实施例涉及一种能够改善用以实现具有6F2布局的DRAM的有源区的间隔物图案化技术中的临界尺寸一致性及工艺容限的方法。此外,本发明的另一实施例涉及能够改善在核心区、周围区及单元块边缘区中的图案的工艺容限的方法,由此实质上防止由OPC工艺、掩模临界尺寸和/或曝光率所导致的临界尺寸一致性的降低。
此外,本发明的另一实施例涉及一种能够通过控制载荷效应(loadingeffect)而改善整个晶片的临界尺寸一致性的方法,该载荷效应可能发生于沉积工艺、平坦化工艺和/或蚀刻工艺中。
在一个实施例中,用以制造半导体装置的方法包括:获得单元图案及外围区的外围图案的目标布局,该单元图案在单元区内成行;形成倾斜图案和外围图案的相反图案,该倾斜图案交替重叠单元图案的行;附着间隔物到倾斜图案及相反图案的侧壁;通过充填间隔物之间的间隙,在倾斜图案之间形成第一嵌埋图案,以及在相反图案周围形成第二嵌埋图案;以及通过切割及分割倾斜图案及第一嵌埋图案的中间部分形成单元图案,并且通过移除相反图案,设置具有第二嵌埋图案的外围图案。
这些单元图案可在倾斜方向上沿着6F2或4F2单元布局而布置。
形成相反图案可包括:获得外围图案的目标布局的相反布局;以及减小相反布局的尺寸。相反布局的尺寸可以被减小间隔物的宽度或减小超过间隔物的宽度。
倾斜图案、相反图案、第一嵌埋图案及第二嵌埋图案可以由实质相同的材料或不同的材料形成。
在另一实施例中,用以制造半导体装置的方法包括:在其中定义有单元区及外围区的晶片上方形成硬掩模膜及分隔层;图案化分隔层,以形成多个倾斜图案,这些倾斜图案与设置于单元区中的单元图案的行交替重叠,以及图案化将设置于外围区中的外围图案的相反图案;附着间隔物至倾斜图案及相反图案的侧壁;通过充填在间隔物之间的间隙,在倾斜图案之间形成第一嵌埋图案,以及在相反图案周围形成第二嵌埋图案;通过切割及分割倾斜图案及第一嵌埋图案的中间部分形成单元图案,并且通过移除相反图案,设置具有第二嵌埋图案的外围图案;选择性地移除间隔物;以及通过使用单元图案及外围图案作为蚀刻掩模,选择性地蚀刻该硬掩模膜的曝光区域,以形成硬掩模图案。
相反图案的间隙形成在被外围图案的间隔而隔开的位置。
形成第一嵌埋图案及第二嵌埋图案可包括;在附着间隔物的工艺之后,用嵌埋层嵌埋倾斜图案、相反图案及间隔物;以及在嵌埋层上进行平坦化工艺,以暴露倾斜图案、相反图案及间隔物的顶面。平坦化工艺通过化学机械抛光(chemical mechanical polishing,CMP)工艺或回蚀刻(etch-back)工艺来进行。
在形成硬掩模图案之后,该方法可还包括:通过使用硬掩模图案作为蚀刻掩模,蚀刻单元区及外围区的晶片,以在单元区中形成具有第一宽度的装置隔离槽;以及在外围区中形成具有第二宽度的装置隔离槽,该第二宽度宽于第一宽度。
形成倾斜图案及外围图案可包括:在形成分隔层的工艺之后,在分隔层上方形成抗蚀剂膜;获得单元图案及外围图案的目标布局,该单元图案形成该单元区内的行,该外围图案将设置于外围区中;获得单元图案及外围图案的目标布局的相反布局;减小相反布局的尺寸;转移相反布局至抗蚀剂膜上;以及通过使用其上转移有相反布局的抗蚀剂膜,图案化分隔层。
外围区的蚀刻载荷效应可在形成相反图案的工艺及移除相反图案的工艺中被降低。
硬掩模层可以包括单一膜或堆叠膜,其选自由等离子体增强型原硅酸四乙酯(Plasma Enhanced Tetraethyl-orthosilicate,PETEOS)膜、非晶碳膜、氮化物膜及氧化物膜的组成的组。
设置单元图案及外围图案可以包括:在形成第一嵌埋图案及第二嵌埋图案的工艺之后,在第一嵌埋图案及第二嵌埋图案上方形成抗蚀剂膜;在抗蚀剂膜上方设置切割掩模,该切割掩模包括暴露倾斜图案及第一嵌埋图案的中间部分的孔以及暴露相反图案的开口部;通过转移切割掩模的孔及开口部至抗蚀剂膜上而形成抗蚀剂图案;以及选择性地蚀刻并移除抗蚀剂图案的曝光区域。抗蚀剂图案的开口部可以暴露相反图案的整个区域,且暴露间隔物的一半区域。
根据另一实施例,用以制造半导体装置的方法包括:获得单元图案及外围区的外围图案的目标布局,该单元图案在单元区中沿行形成;形成倾斜图案,该倾斜图案交替重叠单元图案的行且在单元区与外围区之间的边界区延伸,以及形成外围图案的相反图案;附着间隔物到倾斜图案及相反图案的侧壁;通过充填在间隔物之间的间隙,在倾斜图案之间形成第一嵌埋图案,以及在相反图案周围形成第二嵌埋图案;通过切割及分割倾斜图案及第一嵌埋图案的中间部分以形成单元图案,以及通过移除相反图案,以设定具有第二嵌埋图案的外围图案。
根据另一实施例,用以制造半导体装置的方法包括:在其中定义有单元区及外围区的晶片上方形成硬掩模膜及分隔层;在该分隔层上形成倾斜图案以及外围图案的相反图案,该倾斜图案与将设置在单元区中的单元图案的行交替重叠,外围图案将设置在外围区中;附着间隔物至倾斜图案及相反图案的侧壁;通过充填在间隔物之间的间隙,在倾斜图案之间形成第一嵌埋图案,以及在相反图案周围形成第二嵌埋图案;通过切割及分割倾斜图案及第一嵌埋图案的中间部分以形成单元图案,以及通过移除倾斜图案与相反图案的边界区上的重叠区域,以将设定具有第二嵌埋图案的外围图案;选择性地移除间隔物;以及通过使用单元图案及外围图案作为蚀刻掩模,选择性地蚀刻硬掩模膜的曝光区域,形成硬掩模图案。
附图说明
结合附图并根据以下的详细描述,以上及其他方面、特征及其他优点将变得更容易理解,附图中:
图1(a)和图1(b)为SEM图像,示出使用典型的间隔物图案化技术所形成的单元块边缘区;
图2是示出晶片CD一致性的表,该晶片使用典型的间隔物图案化技术形成;
图3是示出使用根据本发明实施例的间隔物图案化技术形成的装置隔离槽的视图;
图4到6是示出根据本发明实施例的间隔物图案化技术中使用的掩模布局的平面图;
图7到19C是示出根据本发明实施例的用于制造半导体装置的方法的视图;及
图20是示出显示单元边缘区与单元中心区之间的临界尺寸的比较的图。
具体实施方式
以下,将参考附图来描述本发明的具体实施例。附图未必依比例绘制,在某些例子中,为了清楚的描述本发明的特定特征可以夸大其比例。
虽然本发明的实施例应用于具有6F2或4F2布局的DRAM半导体装置的装置隔离槽的形成工艺,但当包括通过曝光工艺转移图案的工艺时,其也可被修改为具有装置隔离槽之外的形状的图案的形成工艺。
图3为截面图,示出根据本发明实施例采用间隔物图案化技术所形成的装置隔离槽。
参阅图3,为了将图案集成在晶片上,诸如DRAM的存储单元定义由装置隔离膜限制在内的有源区,该装置隔离膜通过在晶片内形成装置隔离槽及用绝缘材料充填该装置隔离槽而实现。装置隔离膜起作用以通过控制DRAM的数据保持时间而提升装置的良率。为了制作这种装置隔离膜,在晶片上在单元区及外围区中形成包括焊垫氧化物图案305及焊垫氮化物图案310且转移有装置隔离槽形状的掩模图案,且通过使用该掩模图案作为蚀刻掩模的蚀刻工艺而在晶片的每个区域内形成装置隔离槽313、315、320及325。同时,随着半导体装置的集成密度增加,引入且应用间隔物图案化技术(SPT)作为形成精细装置隔离槽的方法。
当使用间隔物图案化技术形成装置隔离槽时,图案通常形成于单元中心区。然而,临界尺寸一致性在单元块边缘区倾向于降低,此单元块边缘区是单元边缘区,因此图案倾向于变窄,或可能发生桥接缺陷。这样的缺陷是因在单元区、单元块边缘区及外围区之间的掩模临界尺寸差和图案密度差所导致。因此,本发明的实施例旨在提供一种方法,当使用间隔物图案化技术形成装置隔离槽时,此方法可改善单元区、单元块边缘区及外围区之间的掩模临界尺寸差与图案密度差异。
图4至6为一些典型的平面图,示出根据本发明实施例而使用于间隔物图案化工艺中的掩模布局。具体而言,图4是分隔布局的平面图,图5是切割布局的平面图,图6是用于形成使用图4和5的工艺而形成的装置隔离槽的目标布局的平面图。
参阅图4,分隔布局在间隔物图案化工艺期间应用至形成分隔的工艺中。分隔布局包括对应于晶片的单元区的第一区域、对应于围绕单元区的外围区的第二区域及对应于分隔单元区与外围区的边界区的第三区域。分隔布局的整个区域使用在曝光工艺中。优选地设置有分隔布局的分隔曝光掩模配置有暗色调(dark-tone)光掩模。具体而言,将形成于晶片上的图案布局通过孔状光传输部439设置在不透光基板437上。通过光传输部439设定的图案布局在后续的曝光工艺中作为相反图案形成于晶片上。也就是,通过光传输部439所设定的图案布局被转移至形成于晶片上的抗蚀剂膜上。再参阅图4,转移至晶片上的图案布局形成为倾斜图案402,该倾斜图案402重叠将被布置以形成单元区内的行的单元图案,且转移至晶片上的图案布局被形成为相反图案404,相反图案404重叠将被布置在外围区内的外围图案。倾斜图案402形成为交叠边界区及延伸在边界区上,该边界区将单元区与外围区分隔。相反图案404形成为大于将最后形成的目标图案。将在稍后详细说明图4。
参阅图5,切割布局在间隔物图案化工艺中应用于去除不必要部分的工艺。设置有切割布局的切割曝光掩模使用透明色调(clear-tone)光掩模。具体而言,将形成于晶片上的图案布局设置为具有在透明基板490上由遮光材料形成的图案。单元区的切割布局包括选择性地暴露单元区内的图案的孔491,以及暴露边界区上的重叠区域的开口区域。图中未示出的部分是在切割布局中交叠图4的倾斜图案402的部分。
参阅图6,在利用分隔布局与切割布局形成的装置隔离槽的目标布局中,图案以与单元区及单元块边缘区中的单元图案相同的形状来形成,该单元块边缘区是靠近外围区的最外边区域,且没有桥接形成。而且,以确保在分隔布局中足够的工艺容限的状态下在外围区中形成图案。形成于单元区与外围区中的第一图案546、547及548是其中设置有源区的区域,第二图案是其中设置装置隔离槽540、545、550的区域。
接下来,下面将描述本发明的实施例,同时示例形成装置隔离槽的工艺。
图7到19C是示出根据本发明实施例的制造半导体装置的方法的视图。图20是示出在单元边缘区与单元中心区之间的临界尺寸的比较图。
参阅图7,焊垫氧化物膜405和焊垫氮化物膜410形成于晶片400上方。晶片400包括单元区及围绕该单元区的外围区。边界区定义为分隔单元区与外围区。对于根据本发明实施例的单元区来说,作为单元块的外部的单元块边缘区将被当作示例。进行该装置的实际驱动的字线图案或位线图案形成在单元区中。感测放大器(sense amplifier,SA)(未示出)、次字线(sub wordlines,SWD)(未示出)及次孔(sub hole,SH)(未示出)设置在外围区中。在单元区与外围区之间的边界区作用为缓冲器,该缓冲器使得在转移图案到晶片上的后续工艺中,缺陷图案将不形成在单元块边缘区中。同时,最小空间区域可另外设置于外围区中。此最小间隔区域是其中设置有通过设定设计规则到最小尺寸而设计的图案的区域。
接着,硬掩模层形成于焊垫氮化物膜410上。硬掩模层在形成间隔物图案化技术的分隔的工艺中作为蚀刻掩模。硬掩模层通过依序沉积第一硬掩模膜415、分隔层420及第二硬掩模膜425而形成。抗反射膜430及第一抗蚀剂膜435形成于第二硬掩模膜425上方。第一硬掩模膜415包括等离子体增强原硅酸四乙酯(plasma enhanced tetraethyl orthosilicate,PETEOS)膜,且作用为保护膜,其在后续的蚀刻工艺中保护下面的焊垫氮化物膜410。分隔层420包括多晶硅膜,且定义在间隔物图案化工艺中其中将形成目标图案的区域。第二硬掩模膜425在用于图案化分隔层420的蚀刻工艺中作为蚀刻掩模。第二硬掩模膜425可包括非晶碳膜。抗反射膜430实质上避免了在曝光工艺中的光反射,且可包括氮氧化硅膜(silicon oxynitride,SiON)。第一抗蚀剂膜435可由正性抗蚀剂材料形成。
参阅图8,其为沿着图4的I-I’、II-II’及III-III’线所取的截面图,其中形成有包括倾斜抗蚀剂图案440a及相反抗蚀剂图案440b及440c的第一抗蚀剂图案440。倾斜抗蚀剂图案440a重叠将设置于单元区中以在斜方向上形成行的单元图案,相反抗蚀剂图案440b及440c具有与将设置于外围区中的外围图案相同的形状。具体而言,分隔曝光掩模设置于第一抗蚀剂膜(图5中的435)上,其中图4的分隔布局设置于分隔曝光掩模上。接着,第一抗蚀剂图案440通过进行包括曝光工艺及显影工艺的光刻工艺而形成。分隔曝光掩模使用暗色调光掩模。如图4所示,在转移至第一抗蚀剂膜上的分隔布局中,图案布局通过光传输部439而设置在不透光基板437上。通过光传输部439所设定的倾斜图案与外围图案被转移至晶片上,使得第一抗蚀剂图案440形成为相反图案。换句话说,由于第一抗蚀剂图案440使用暗色调光掩模形成,单元区中的遮光部的宽度对应于倾斜抗蚀剂图案440a的宽度a1,且光传输部的宽度对应于设置在倾斜抗蚀剂图案440a之间的间隔物450a的宽度a2。此外,外围区中的遮光部的宽度对应于相反抗蚀剂图案440b及440c的宽度b1,且间隔物(即为光传输部)的宽度对应于设置在相反抗蚀剂图案440b及440c之间的间隔物450b的宽度b2。
参阅图8和9A,图9A为示出图4中的单元区的部分A的平面图,倾斜抗蚀剂图案440a包括抗蚀剂膜,其设置为重叠于将设置在单元区中的单元图案。倾斜抗蚀剂图案440a包括设置在斜方向上的线及间隔(line-and-space)形状的图案。倾斜抗蚀剂图案440a延伸达到第一长度d,使得其重叠于分隔单元区与外围区的边界区。倾斜抗蚀剂图案440a延伸得足够长以邻近设置在外围区中的相反抗蚀剂图案440b。然而,倾斜抗蚀剂图案440a延伸到在曝光工艺中没有通过与相反抗蚀剂图案440b相互光学干涉而引起的桥接的程度。从倾斜抗蚀剂图案440a延伸至边界区的部分是尤其受OPC及掩模临界尺寸影响的区域。另一方面,形成于单元区上的区域则几乎没有被OPC及掩模临界尺寸所影响,因为延伸至边界区的区域在曝光工艺中作为用于OPC的缓冲器。
参阅图8和9B,图9B为示出图4中的外围区的第一区域B的平面图,包括抗蚀剂膜的外围图案的相反抗蚀剂图案440c被设置为重叠外围图案。外围区的第一区域B是足够确保外围区中用于过大尺寸(oversizing)的间隔的区域。外围图案的相反图案布局403a被形成为比最终目标布局405a大第一宽度x1。形成为大于目标布局405a的该第一宽度x1等于或大于之后将被形成的间隔物的宽度。举例来说,在应用具有31nm 6F2布局的DRAM的情况中,当实际的目标图案形成为100nm,外围图案的相反布局形成为比目标图案大25nm至30nm。如此一来,当外围图案的相反布局形成为大于目标布局时,则用以形成切割掩模的工艺容限会增加。
参阅图8和9C,图9C是平面图,示出外围区的第二区域C,包括抗蚀剂膜的外围图案的相反抗蚀剂图案440被设置。第二区域C是最小间隔区域,其中用于过大尺寸的间隔是不够的。在第二区域C中,外围图案的相反图案布局403b被形成为比最终目标布局405b大第一宽度x1。比目标布局405b多出的该第一宽度x1与之后将被形成的间隔物的厚度一样大。比目标布局405b多出的该第一宽度x1等于或大于之后将被形成的间隔物的宽度。在这种情况中,第二区域C是其中设置有通过设定设计规则到最小尺寸而设计的图案的最小间隔区域。因此,当相反图案布局403b从目标布局405b延伸第一宽度x1时,所有相反布局403b耦接在一起。因此,如图8所示,抗反射膜430的表面被暴露。
参阅图10,通过使用第一抗蚀剂图案(图8中的440)作为蚀刻掩模,蚀刻抗反射膜430及第二硬掩模膜425,从而形成抗反射图案(未图示)及第二硬掩模图案425a、425b及425c。第一抗蚀剂图案440及抗反射图案430a接着被移除。分隔层420的表面通过第二硬掩模图案425a、425b及425c而被局部暴露。当在III-III’方向上的最小间隔区域被形成为所有相反布局(图9C中的403b)耦接在一起的形状时,分隔层420被暴露。
参阅图11,分隔455通过使用第二硬掩模图案425a、425b及425c作为蚀刻掩模来蚀刻分隔层420而形成。第一硬掩模膜415的表面通过分隔455而被局部暴露。分隔455包括延伸以重叠于单元区及边界区上的倾斜图案455a,以及形成于外围区中的外围图案的相反图案455c。间隔物层465形成于分隔455及第一硬掩模膜415的暴露表面上方。间隔物层465优选由氧化物形成。间隔物层465形成以覆盖第一硬掩模膜415的暴露表面,同时围绕倾斜图案455a及外围图案的相反图案455c。
参阅图12到13C,第一嵌埋图案(burying pattern)470a形成在倾斜图案455a之间,第二嵌埋图案470b形成在外围图案的相反图案455c周围。具体而言,嵌埋层形成在间隔物层465、倾斜图案455a及外围图案的相反图案455上方。嵌埋层形成得足够厚,以嵌埋间隔物层465、倾斜图案455a及相反图案455c。嵌埋层可用与倾斜图案455a相同的材料来形成,且相反图案455c例如可用多晶硅来形成,使得其可在后续的切割掩模工艺中容易被移除。
倾斜图案455a与外围图案的相反图案455c的顶面通过在嵌埋层上进行平坦化工艺而暴露。该平坦化工艺可通过化学机械抛光工艺和/或回蚀刻工艺来进行。通过该平坦化工艺,形成设置在倾斜图案455a之间的第一嵌埋图案470a,以及设置在相反图案455b及455c周围的第二嵌埋图案470b。该平坦化工艺实质上一致地在整个晶片上方进行。然而,当单元区与外围区之间的图案密度差异增加时,平坦化工艺在具有高图案密度的区域与具有低图案密度的区域以不同的轮廓进行。当以不同的轮廓进行平坦化工艺时,可能会发生凹陷(dishing)或者临界尺寸一致性可能会减低。然而,根据本发明的实施例,由于图案形成在单元区与外围区两者中,因此晶片的每个区域中的图案密度差异会减低。因此,可以减小临界尺寸一致性的降低,该一致性的降低由当在仅形成于单元区中的图案上进行平坦化工艺时会发生的凹陷所引起。
参阅图14,第三硬掩模膜475及抗反射膜480形成于第一嵌埋图案470a及第二嵌埋图案470b上方。抗蚀剂膜(未图示)涂布于抗反射膜480上方。切割曝光掩模设置在抗蚀剂膜上,其中图5的切割布局设置在切割曝光掩模上。如图5所示,切割布局设置在切割曝光掩模上,此切割布局包括选择性地暴露单元区中的图案的孔491,以及选择性地暴露该外围区及重叠于边界区上的区域的开口部。孔491暴露倾斜图案455a及第一嵌埋图案470a的中间部分。第二抗蚀剂图案485通过转移切割掩模的孔491及开口部至抗蚀剂膜上而形成。第二抗蚀剂图案485包括暴露倾斜图案455a及第一嵌埋图案470a的中间部分的孔(图5的491)、暴露边界区上的重叠区域的第一开口部500、以及暴露相反图案455b及455c的第二开口部505及510。第二开口部505在II-II’方向上的宽度b4大于相反图案455c的宽度b1,除去下面间隔物465的厚度x2。
参阅图14及图15A,图15A为图5的单元区的部分A的平面图,抗蚀剂单元图案485a设置在单元区中,其中,暴露倾斜图案455a及第一嵌埋图案(图14的470a)的中间部分的孔491a设置在抗蚀剂单元图案485a上。孔491a优选地以点状形成。此外,第二抗蚀剂图案485包括暴露倾斜图案在边界区上的重叠区域的第一开口部500。由于孔491a非常精细,所以优选利用RELACS材料来形成。
参阅图14和图15B,图15B为平面图,示出外围区的第一区域B,具有外围图案形状的外围抗蚀剂图案485c被设置。通过图5的切割布局所设定的外围抗蚀剂图案485c的布局495a形成为比目标布局405b大第二宽度x2。优选地设定为比目标布局405b大第二宽度x2的宽度形成为优选地具有在图9B中所设定的相反图案布局485c的第一宽度x1的一半。此外,外围抗蚀剂图案485c包括第二开口部505,第二开口部505暴露对应于外围图案的相反图案455b的区域。第二开口部505的间隙b4暴露对应于相反图案455b的整个区域,且实质上暴露对应于间隔物465的区域的一半。因此,外围抗蚀剂图案485c的宽度b3设置在延伸自目标布局405b的第一宽度x1与第二宽度x2之间。
参阅图14和图15C,图15C为平面图,示出图5的外围区的第二区域C,具有外围图案形状的外围抗蚀剂图案485d被设置。通过图5的切割布局所设定的外围抗蚀剂图案485d的布局495a形成为比目标布局405b大第二宽度x2。优选地设定为比目标布局405b大第二宽度x2的宽度为图9C所设定的相反图案布局403a的第一宽度x1的一半。此外,外围抗蚀剂图案485d包括第二开口部510,第二开口部510暴露对应于外围图案的相反图案455c的区域。第二开口部510实质上暴露对应于相反图案455c的整个区域,且暴露相反布局(图9C的403c)耦接在一起的区域的第三宽度c1。
参阅图16,曝光区域使用第二抗蚀剂图案485作为蚀刻掩模而被蚀刻。曝光区域包括暴露倾斜图案455a及第一嵌埋图案470a的中间部分的孔491a、暴露边界区上的重叠区域的第一开口部(图14的500)以及暴露相反图案455b及455c的第二开口部(图14的505及510)。当使用第二抗蚀剂图案485作为蚀刻掩模进行蚀刻工艺时,单元区图案化成孔491a的形状,且设置在第三硬掩模膜475下方的倾斜图案455a及第一嵌埋图案470a的中间部分蚀刻成孔形。此外,在暴露边界区上的重叠区域的第一开口部500上,且在暴露相反图案455b及455c的第二开口505及510上进行蚀刻工艺。
由此方式,单元区中的倾斜图案455a及第一嵌埋图案470a在中间被切割,且分割以形成单元图案515(形成在斜方向上的行),且相反图案455b及455c及间隔物被移除以形成包括第二嵌埋图案470b及470c的外围图案525。该间隔物选择性地被移除。
参阅图17,第三硬掩模图案530通过使用单元图案515及外围图案525作为蚀刻掩模来蚀刻第三硬掩模膜475的曝光区域而形成。由于附着到相反图案455c的侧壁的间隔物在用于形成单元图案(图16的515)及外围图案525的蚀刻工艺中被移除,第三硬掩模图案530在II-II’方向上的开口部532形成为具有宽于第二开口部(图15B的505)的间隙b4的间隙b5。在III-III’方向上的第三硬掩模图案530的开口部534形成为具有实质上等于第三宽度(图15C的c1)的宽度。
参阅图18,装置隔离槽540、543、545及550通过使用第三硬掩模图案530作为蚀刻掩模来依序蚀刻焊垫氮化物膜410、焊垫氧化物膜405及晶片400的硅而形成于晶片400中。具体而言,包括焊垫氮化物图案530a、530b及530c及焊垫氧化物图案535a、535b及535c的掩模图案通过使用第三硬掩模图案530作为蚀刻掩模来蚀刻焊垫氮化物膜410及焊垫氧化物膜405而形成。装置隔离槽540、543、545及550通过使用掩模图案作为蚀刻掩模来蚀刻晶片400的硅而形成。用以形成装置隔离槽的蚀刻工艺实质上均匀地影响晶片的整个表面。然而,当单元区与外围区之间的图案密度差异增加时,具有高图案密度的区域与具有低图案密度的区域会有不同的偏差值及轮廓。不同的偏差值及轮廓导致有源区的临界尺寸一致性的降低。在本发明的实施例中,图案形成在单元区与外围区两者中。因此晶片的每一区域中的图案密度差异会减低。因此,减小临界尺寸一致性的降低是可能的,该临界尺寸一致性的降低是由于蚀刻工艺中发生的载荷效应(loading effect)所导致。
参阅图19A,图19A为平面图,示出第18图的单元区I-I’,在单元区及为邻近外围区的最外区域D的单元块边缘区中实质上没有桥接发生,而与其中易于发生桥接(图1的115)的现有技术相反。
参阅图19B和19C,图19B和19C为平面图,分别示出图18的外围区的第一区域B及第二区域C,外围图案(图16的525)形成于外围区,使得使用分隔曝光掩模确保了足够的工艺容限。因此,单元区的图案密度的影响被降低。此外,其中工艺容限被确保的外围图案形成于外围区中,同时图案在分隔曝光掩模工艺中形成于单元区中。因此,每一区域上的图案密度差异可以降低。因此,可以改善临界尺寸一致性、最小化桥接以及限制凹陷变形效应,而这些都是因为图案密度差异所引起的。
通过间隔物图案化工艺而进行的沉积工艺、平坦化工艺及蚀刻工艺实质上均匀地影响晶片的整个表面。然而,当单元区与外围区之间的图案密度差异增加时,具有高图案密度的区域与具有低图案密度的区域具有不同的偏差值及轮廓。不同的偏差值及轮廓导致有源区的临界尺寸一致性的降低。在本发明的实施例中,图案形成在外围区中,同时该图案在形成分隔的工艺中形成于单元区。因此,晶片的每一区域中的图案密度差异被降低。因此,减小由于载荷效应或凹陷效应所导致的临界尺寸一致性降低是可能的,而凹陷效应是由于在后续的图案化工艺、沉积工艺、平坦化工艺及蚀刻工艺中的图案密度差异所导致。
具体而言,参阅图20,图20示出在单元边缘区与单元中心区之间的临界尺寸的比较,在当图案在分隔曝光掩模工艺中形成于单元区时,没有图案形成于外围区的情况下,可以看出来,当参照单元中心区设定分隔临界尺寸b2时,单元边缘区的分隔临界尺寸b1小于单元中心区的分隔临界尺寸b2。另外,当单元边缘区的分隔临界尺寸b1变小时,可以看出来,嵌埋分隔之间的间隙的嵌埋图案的临界尺寸朝单元边缘区a1增加,而非朝单元中心区a2增加。因此,装置隔离槽的临界尺寸朝着单元边缘区变得更小,而发生例如桥接的缺陷。
相反地,根据本发明的实施例,单元边缘区的分隔临界尺寸d1与单元中心区的分隔临界尺寸d2之间几乎没有差别。因此,可以看出来,单元中心区的嵌埋图案临界尺寸c2与单元边缘区的嵌埋图案临界尺寸c1之间几乎没有差别。因此,由于CD一致性在晶片的每一区域均匀地被保持,所以可以实现具有期望轮廓的装置隔离槽。
另外,根据芯片内的每个区域的开口率的临界尺寸一致性降低实质上可以通过减少单元区与外围区之间的图案密度差异而避免。例如,可以实质上防止由闪光噪声(由曝光工艺中的光漫游(wandering)所引起)及化学噪声(由抗蚀剂残留物所引起)所导致的临界尺寸一致性的降低。另外,单元图案延伸以与边界区上的外围区相重叠,且外围图案形成在外围区上。因此,由于单元块边缘区与单元中心区的工艺以相似方式进行,所以实质上可以避免由工艺差异所引起的临界尺寸一致性的降低。
根据本发明的诸实施例,由于在单元块边缘区中在OPC工艺期间被影响的区域以及被OPE所影响的区域使用切割曝光掩模被去除,所以OPC及掩模临界尺寸带来的影响可以被降低。
在分隔曝光掩模工艺中,单元区的图案延伸在外围区的方向上,且图案形成在核心区及外围区中。因此,图案密度差异在影响有源区的临界尺寸一致性的因素中被减低。因此,临界尺寸一致性可以被改善。另外,由于图案在分隔曝光掩模工艺期间形成在核心区及外围区两者中,所以由杂散光闪光及化学闪光所导致的影响可以被减低,由此改进临界尺寸一致性。另外,在分隔曝光掩模工艺中,相对于核心区及外围区的最小间隔区域可以改善工艺容限。
为了示例的目的,以上已经揭示本发明的实施例。但本领域的技术人员应该了解的是,在不脱离本发明如所附权利要求所披露的精神及范畴内,可以进行许多修改、添加及替换。
本申请要求于2009年12月29日提交到韩国专利局的韩国申请第10-2009-0133241号的优先权,在此通过参照而将其整个内容并入。

Claims (17)

1.一种制造半导体装置的方法,包括;
获得单元图案及外围区的外围图案的目标布局,所述单元图案在单元区中形成行;
形成倾斜图案以及形成所述外围图案的相反图案,所述倾斜图案交替重叠所述单元图案的行且延伸在所述单元区与所述外围区之间的边界区上;
将间隔物附着到所述倾斜图案及所述相反图案的侧壁;
通过填充所述间隔物之间的间隙,在所述倾斜图案之间形成第一嵌埋图案且在所述相反图案的周围形成第二嵌埋图案;及
通过切割及分开所述倾斜图案及所述第一嵌埋图案的中间部分而形成单元图案,以及通过移除所述相反图案而设定具有所述第二嵌埋图案的所述外围图案。
2.如权利要求1所述的方法,其中所述单元图案沿着6F2或4F2单元布局而布置。
3.如权利要求1所述的方法,其中所述单元图案在倾斜方向上布置。
4.如权利要求1所述的方法,其中所述倾斜图案、所述相反图案、所述第一嵌埋图案及所述第二嵌埋图案由实质上相同的材料形成。
5.如权利要求1所述的方法,其中形成所述相反图案包括:
获得所述外围图案的目标布局的相反布局;及
减小所述相反布局的尺寸。
6.如权利要求5所述的方法,其中所述相反布局的尺寸被减小所述间隔物的宽度或被减小多于所述间隔物的宽度。
7.如权利要求1所述的方法,其中设定所述单元图案及所述外围图案包括:
在形成所述第一嵌埋图案及所述第二嵌埋图案的工艺之后,在所述第一嵌埋图案及所述第二嵌埋图案上方形成抗蚀剂膜;
在所述抗蚀剂膜上方设置切割掩模,所述切割掩模包括暴露所述倾斜图案及所述第一嵌埋图案的中间部分的孔、暴露所述边界区上的重叠区域的第一开口部以及暴露整个所述相反图案的第二开口部;
通过转移所述切割掩模的所述孔、所述第一开口部及所述第二开口部至所述抗蚀剂膜,形成抗蚀剂图案;及
选择性地蚀刻并移除所述抗蚀剂图案的曝光区域。
8.一种制造半导体装置的方法,包括;
在定义有单元区及外围区的晶片上形成硬掩模膜及分隔层;
在所述分隔层上方形成倾斜图案以及将设置在所述外围区中的外围图案的相反图案,所述倾斜图案与将设置在所述单元区中的单元图案的行交替重叠;
将间隔物附着到所述倾斜图案及所述相反图案的侧壁;
通过填充所述间隔物之间的间隙,在所述倾斜图案之间形成第一嵌埋图案以及在所述相反图案周围形成第二嵌埋图案;
通过切割及分开所述倾斜图案及所述第一嵌埋图案的中间部分而形成单元图案,以及通过移除所述倾斜图案与所述相反图案的边界区上的重叠区域,设定具有所述第二嵌埋图案的所述外围图案;
选择性地移除所述间隔物;及
通过使用所述单元图案及所述外围图案作为蚀刻掩模,选择性地蚀刻所述硬掩模膜的曝光区域,形成硬掩模图案。
9.如权利要求8所述的方法,其中所述单元图案沿着6F2或4F2单元布局而布置。
10.如权利要求8所述的方法,其中所述单元图案在倾斜方向上布置。
11.如权利要求8所述的方法,其中形成所述第一嵌埋图案及所述第二嵌埋图案包括:
在附着所述间隔物的工艺之后,用嵌埋层嵌埋所述倾斜图案、所述相反图案及所述间隔物;及
在所述嵌埋层上进行平坦化工艺,以暴露所述倾斜图案、所述相反图案及所述间隔物的顶面。
12.如权利要求11所述的方法,其中所述平坦化工艺通过化学机械抛光工艺或回蚀刻工艺进行。
13.如权利要求8所述的方法,其中在形成所述硬掩模图案之后还包括:
通过使用所述硬掩模图案作为蚀刻掩模,蚀刻所述单元区及所述外围区的晶片,在所述单元区中形成具有第一宽度的装置隔离槽;及
在所述外围区中形成具有第二宽度的另一装置隔离槽,其中所述第二宽度宽于所述第一宽度。
14.如权利要求8所述的方法,其中形成所述倾斜图案及所述外围图案包括:
在形成所述分隔层之后,在所述分隔层上方形成抗蚀剂膜;
获得单元图案及外围图案的目标布局,所述单元图案在所述单元区中形成行,所述外围图案将被设置在所述外围区中;
获得所述单元图案及所述外围图案的目标布局的相反布局;
减小所述相反布局的尺寸;
转移所述相反布局至所述抗蚀剂膜;及
通过使用转移有所述相反布局的抗蚀剂膜,图案化所述分隔层。
15.如权利要求14所述的方法,其中所述相反布局的尺寸被减小所述间隔物的宽度或被减小多于所述间隔物的宽度。
16.如权利要求8所述的方法,其中设定所述单元图案及所述外围图案包括:
在形成所述第一嵌埋图案及所述第二嵌埋图案的工艺之后,在所述第一嵌埋图案及所述第二嵌埋图案上方形成抗蚀剂膜;
在所述抗蚀剂膜上方设置切割掩模,所述切割掩模包括暴露所述倾斜图案及所述第一嵌埋图案的中间部分的孔、暴露所述边界区上的重叠区域的第一开口部以及暴露所述相反图案的第二开口部;
通过转移所述切割掩模的孔、第一开口部及第二开口部至所述抗蚀剂膜,形成抗蚀剂图案;及
选择性地蚀刻并移除所述抗蚀剂图案的曝光区域。
17.如权利要求16所述的方法,其中所述抗蚀剂图案的第一及第二开口部暴露所述相反图案的整个区域,且暴露所述间隔物的一半区域。
CN201010288339.8A 2009-12-29 2010-09-19 采用间隔物图案化技术制造半导体装置的方法 Expired - Fee Related CN102110600B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020090133241A KR101096263B1 (ko) 2009-12-29 2009-12-29 스페이서 패터닝 기술을 이용한 반도체 소자의 형성방법
KR133241/09 2009-12-29

Publications (2)

Publication Number Publication Date
CN102110600A CN102110600A (zh) 2011-06-29
CN102110600B true CN102110600B (zh) 2014-06-11

Family

ID=44174719

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010288339.8A Expired - Fee Related CN102110600B (zh) 2009-12-29 2010-09-19 采用间隔物图案化技术制造半导体装置的方法

Country Status (4)

Country Link
US (1) US7998837B2 (zh)
KR (1) KR101096263B1 (zh)
CN (1) CN102110600B (zh)
TW (1) TWI477999B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130089120A (ko) * 2012-02-01 2013-08-09 에스케이하이닉스 주식회사 미세 패턴들을 포함하는 반도체 소자 제조방법
CN103065943B (zh) * 2013-01-10 2016-12-28 无锡华润上华半导体有限公司 深沟槽刻蚀工艺的关键尺寸补偿方法
US9312259B2 (en) * 2013-11-06 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with thinned contact
CN106486371B (zh) * 2015-08-28 2021-06-01 联华电子股份有限公司 一种制作半导体元件的方法
US10211051B2 (en) * 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
KR102491694B1 (ko) * 2016-01-11 2023-01-26 삼성전자주식회사 반도체 소자의 제조 방법
CN107958868B (zh) * 2016-10-17 2020-10-20 联华电子股份有限公司 隔离结构的制造方法
CN109494187B (zh) * 2017-09-08 2020-09-15 联华电子股份有限公司 半导体结构的制作方法
US20190139766A1 (en) * 2017-11-09 2019-05-09 Nanya Technology Corporation Semiconductor structure and method for preparing the same
CN107994027B (zh) * 2017-11-21 2020-09-25 长江存储科技有限责任公司 一种sono刻蚀中负载效应影响的减轻方法
CN110707044B (zh) * 2018-09-27 2022-03-29 联华电子股份有限公司 形成半导体装置布局的方法
KR20200050138A (ko) 2018-11-01 2020-05-11 에스케이하이닉스 주식회사 반도체 장치의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698902A (en) * 1994-12-19 1997-12-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device having finely configured gate electrodes
US6221777B1 (en) * 1999-06-09 2001-04-24 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor vias
CN1873924A (zh) * 2005-06-01 2006-12-06 株式会社瑞萨科技 半导体制造方法
CN101063808A (zh) * 2006-04-25 2007-10-31 海力士半导体有限公司 掩模布局的形成方法及利用该方法所形成的布局

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244980B2 (en) 2004-02-09 2007-07-17 Infineon Technologies Ag Line mask defined active areas for 8F2 DRAM cells with folded bit lines and deep trench patterns
KR100674970B1 (ko) * 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
TWI381485B (zh) * 2005-11-10 2013-01-01 Renesas Electronics Corp Semiconductor device manufacturing method and semiconductor device
KR100721201B1 (ko) 2005-12-27 2007-05-23 주식회사 하이닉스반도체 6f2 레이아웃을 갖는 반도체 소자의 랜딩플러그 형성방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698902A (en) * 1994-12-19 1997-12-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device having finely configured gate electrodes
US6221777B1 (en) * 1999-06-09 2001-04-24 Advanced Micro Devices, Inc. Reverse lithographic process for semiconductor vias
CN1873924A (zh) * 2005-06-01 2006-12-06 株式会社瑞萨科技 半导体制造方法
CN101063808A (zh) * 2006-04-25 2007-10-31 海力士半导体有限公司 掩模布局的形成方法及利用该方法所形成的布局

Also Published As

Publication number Publication date
KR20110076502A (ko) 2011-07-06
CN102110600A (zh) 2011-06-29
US20110159663A1 (en) 2011-06-30
KR101096263B1 (ko) 2011-12-22
TWI477999B (zh) 2015-03-21
US7998837B2 (en) 2011-08-16
TW201122886A (en) 2011-07-01

Similar Documents

Publication Publication Date Title
CN102110600B (zh) 采用间隔物图案化技术制造半导体装置的方法
KR101565796B1 (ko) 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101565798B1 (ko) 콘택 패드와 도전 라인과의 일체형 구조를 가지는 반도체 소자
US20050201155A1 (en) Memory device and fabrication method thereof
US8110340B2 (en) Method of forming a pattern of a semiconductor device
CN101752362B (zh) 具有化学机械抛光伪图案的半导体器件及其制造方法
CN108231770B (zh) 形成图案的方法
US11968830B2 (en) Method of manufacturing memory device and patterning method
US7972967B1 (en) Method of forming patterns of a semiconductor device including forming spacers on sidewalls of auxiliary patterns and removing exposed auxiliary patterns
CN110021518B (zh) 自对准双重图案方法
US20050105332A1 (en) Memory device and fabrication method thereof
US20230021267A1 (en) Semiconductor structure and fabrication method thereof
CN111129021B (zh) 一种NOR Flash栅极多晶硅工艺方法
US10707092B1 (en) Manufacturing method for semiconductor pattern
US7060561B2 (en) Method for fabricating memory device
KR100721201B1 (ko) 6f2 레이아웃을 갖는 반도체 소자의 랜딩플러그 형성방법
CN114613730A (zh) 一种半导体结构的制造方法和dram的形成方法
TW202243132A (zh) 記憶體結構的製備方法
KR100483586B1 (ko) 난드 플래시 메모리 소자의 제조 방법
CN114695369A (zh) 半导体器件及其制作方法
KR100865550B1 (ko) 리세스 게이트를 갖는 반도체 소자의 제조방법
CN115701214A (zh) 位元线结构的制作方法及数据存储阵列的制作方法
KR20060122132A (ko) 반도체 소자
KR20130013460A (ko) 반도체 소자의 콘택홀 형성방법
KR20010114056A (ko) 플래쉬 메모리 소자의 워드라인 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140611

Termination date: 20160919