CN101465336A - 具有含硅铜布线层的半导体器件及其制造方法 - Google Patents

具有含硅铜布线层的半导体器件及其制造方法 Download PDF

Info

Publication number
CN101465336A
CN101465336A CNA2009100034702A CN200910003470A CN101465336A CN 101465336 A CN101465336 A CN 101465336A CN A2009100034702 A CNA2009100034702 A CN A2009100034702A CN 200910003470 A CN200910003470 A CN 200910003470A CN 101465336 A CN101465336 A CN 101465336A
Authority
CN
China
Prior art keywords
layer
copper
silicon
insulating interlayer
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009100034702A
Other languages
English (en)
Other versions
CN101465336B (zh
Inventor
大音光市
竹胁利至
宇佐美达矢
山西信之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN101465336A publication Critical patent/CN101465336A/zh
Application granted granted Critical
Publication of CN101465336B publication Critical patent/CN101465336B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及一种半导体器件及其制造方法。在半导体器件中,在绝缘底层(101、201)上形成具有沟槽的绝缘夹层(103,203)。包括非金属硅化物的含硅金属层(111,221)埋在沟槽中。在含硅金属层和绝缘夹层上形成金属扩散阻挡层(109、208)。

Description

具有含硅铜布线层的半导体器件及其制造方法
本申请是申请日为2002年11月15日、申请号为02151306.6、题为“具有含硅铜布线层的半导体器件及其制造方法”的中国专利申请的分案申请。
发明领域
本发明涉及包括金属布线层,例如铜(Cu)布线层,的半导体器件及其制造方法。
相关技术说明
随着半导体器件的结构变得更加精细,布线层的电阻增加了,并且层间的寄生电容也增加了。注意,在布线层中电阻的增加和寄生电容的增加使其时间常数增加,这将延迟布线层中信号的传播。
为了降低布线层的电阻,采用Cu而不是铝(Al)作为布线层。但是,因为难以对Cu进行干蚀刻工艺,所以采用化学机械抛光(CMP)工艺对被称作金属线镶嵌结构(大马士革结构)的Cu制布线层进行处理。
在用于制造Cu单金属线镶嵌结构的现有技术中(参见:JP-A-2000-150517),由CMP工艺填充在绝缘夹层的沟槽中的铜层完全被阻挡金属层和铜扩散阻挡层夹在中间,从而抑制铜层的氧化和铜从铜层中的扩散。此外,为了抑制铜层的电迁移,在铜层的上表面形成硅化铜层。这将在后面进行详细的说明。
但是,在上述用于单金属线镶嵌结构的现有技术的方法中,由于硅化铜层及其氧化物的存在,布线层的电阻显著的增加了。
另一方面,在采用Cu的制造双金属线镶嵌结构的现有技术的方法中,第一铜层通过阻挡金属层填充到绝缘层的沟槽中,然后,在其上形成铜扩散阻挡层。接着,在铜扩散阻挡层上又形成绝缘层,并且用铜扩散阻挡层作为蚀刻终止层通过照相制版和蚀刻工艺在绝缘层中形成通孔。随后,在通孔中填充另一个铜层,并与第一铜层连接。这也将在后面进行详细的说明。
但是,在上述用于双金属线镶嵌结构的现有技术的方法中,铜扩散阻挡层可能被对绝缘层的照相制版和蚀刻工艺过蚀刻,由此第一铜层被后续的采用O2气体等离子体的干灰化工艺氧化,从而降低成品率并增加电迁移。
注意,双金属线镶嵌结构主要分为通孔一型、中间一型和沟槽一型。
在通孔一型双金属线镶嵌结构中,依次形成第一和第二绝缘层。然后,在第一绝缘夹层中形成通孔,接着,在第二绝缘夹层中形成沟槽。最后,通孔结构和沟槽布线层同时分别形成在通孔和沟槽中。
在中间一型双金属线镶嵌结构中,形成第一绝缘夹层,并在第一绝缘夹层上形成通孔蚀刻掩模。然后,形成第二绝缘夹层。接着,在第二绝缘夹层中的沟槽与采用通孔在第一绝缘夹层中的通孔同时形成。最后,通孔结构和沟槽布线层同时分别形成在通孔和沟槽中。注意,在中间一型双金属线镶嵌结构中,在形成通孔掩模和沟槽的照相制版工艺中不能使用用于抑制下铜层的反射光的抗反射层。
在沟槽一型双金属线镶嵌结构中,依次形成第一和第二绝缘夹层。然后,在第二绝缘夹层中形成沟槽。接着,在第一绝缘夹层中形成通孔。最后,通孔结构和沟槽布线层同时分别形成在通孔和沟槽中。注意,在沟槽一型双金属线镶嵌结构中,在形成通孔的照相制版工艺中不能使用用于抑制下铜层的反射光的抗反射层。
通孔一型双金属线镶嵌结构用在更精细的低层布线层,而中间一型双金属线镶嵌结构和沟槽一型双金属线镶嵌结构用在不精细的中间和上层布线层。
发明内容
本发明的目的是提供具有能够显著降低布线层的电阻的单金属线镶嵌型半导体器件及其制造方法。
本发明的另一个目的是提供能够增加成品率的双金属线镶嵌型半导体器件及其制造方法。
根据本发明,半导体器件由绝缘底层、形成在绝缘底层上并具有沟槽的第一绝缘夹层、埋在沟槽中的第一含硅金属层以及形成在第一含硅金属层和第一绝缘夹层上的第一金属扩散阻挡层构成。
半导体器件还由形成在第一金属扩散阻挡层上的第二绝缘夹层,第二绝缘夹层和第一金属扩散阻挡层具有与第一绝缘夹层的沟槽对置的通孔;埋在通孔中的第二含硅金属层;形成在第二含硅金属层和第二绝缘夹层上的第二金属扩散阻挡层;形成在第二金属扩散阻挡层上的第三绝缘夹层,第三绝缘夹层和第二金属扩散阻挡层具有与通孔对置的沟槽;埋在沟槽中的第三含硅金属层;以及形成在第三含硅金属层和第三绝缘夹层上的第三金属扩散阻挡层构成。由此,获得了多层单金属线镶嵌结构。
另一方面,半导体器件还由形成在第一金属扩散阻挡层上的第二绝缘夹层,第二绝缘夹层和第一金属扩散阻挡层具有与第一绝缘夹层的沟槽对置的通孔;形成在第二绝缘夹层上的第三绝缘夹层,第三绝缘夹层具有与通孔对置的沟槽;第二含硅金属层,包括非金属硅化物层并埋在沟槽和通孔中;以及形成在第二含硅金属层和第三绝缘夹层上的第二金属扩散阻挡层构成。由此,获得了双金属线镶嵌结构。
附图说明
通过下面结合附图并与现有技术的比较进行的说明,能够更清晰地理解本发明。
图1A到1H是用于说明制造半导体器件的第一现有技术方法的剖面图;
图2A到2P是用于说明制造半导体器件的第二现有技术方法的剖面图;
图3示出了由图2A到2P所示的方法获得的通孔结构的制造成品率的图;
图4示出了常规的平行盘型等离子体化学气相淀积(CVD)装置的剖面图;
图5A到5J是用于说明根据本发明用于制造半导体器件的方法的第一实施例的剖面图;
图6示出了在图5I的含有硅的铜层中Si组分的分布图;
图7是Cu-Si的相图;
图8A和8B是用于说明图5A到5J所示的制造方法的变型的剖面图;
图9A到9S是用于说明根据本发明用于制造半导体器件的方法的第二实施例的剖面图;
图10A到10V是用于说明根据本发明用于制造半导体器件的方法的第三实施例的剖面图;
图11示出了由图10A到10V所示的方法得到的半导体器件的故障可能性特性图;
图12示出了由图10A到10V所示的方法得到的半导体器件的成品率特性图;
图13A到13F是用于说明根据本发明用于制造半导体器件的方法的第四实施例的剖面图;
图14示出了纯Cu和含硅铜的反射特性图;
图15A到15F是用于说明根据本发明用于制造半导体器件的方法的第五实施例的剖面图;
图16A示出了梯型含氢硅氧烷的化学结构图;
图16B示出了图16A的梯型含氢硅氧烷的特性表;
图16C示出了图16A的梯型含氢硅氧烷的吸光率特性图;
图16D示出了图16A的梯型含氢硅氧烷的密度和折射率特性图;
图17示出了含氢硅倍半氧烷(HSQ)的化学结构图;
图18、19和20示出了根据本发明的阶梯型含氢硅氧烷和含氢硅倍半氧烷(HSQ)的特性图;
图21A是半导体晶片的图;以及
图21B示出了图21A的半导体晶片上阶梯型含氢硅氧烷和HSQ的蚀刻量的表。
具体实施方式
在说明优选实施例之前,参考图1A到1H和图2A到2P以及3说明现有技术制造半导体器件的方法。
图1A到1H是用于说明制造半导体器件的第一现有技术方法(参见:JP-A-2000-150517)的剖面图。在这种情况下,形成单层单金属线镶嵌结构。
首先,参考图1A,由二氧化硅等制成的绝缘底层101形成在用于形成各种半导体元件的硅衬底(未示出)上。然后,在绝缘层101上通过等离子体CVD工艺由SiON形成蚀刻终止层102。接着,在蚀刻终止层102上通过CVD工艺淀积由二氧化硅构成的绝缘夹层103。随后,抗反射涂层104和光致抗蚀剂层105依次涂覆到绝缘夹层103上。接下来,通过照相制版工艺对光致抗蚀剂层105进行构图,从而在光致抗蚀剂层105中形成沟槽105a。
然后,参考图1B,用光致抗蚀剂层105作为掩模通过干蚀刻工艺蚀刻抗反射涂层104和绝缘夹层103。
然后,参考图1C,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层105和抗反射涂层104进行灰化。
然后,参考图1D,通过干蚀刻工艺对蚀刻终止层102进行回蚀(etch back)。接着,在绝缘夹层103和绝缘底层101上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
然后,参考图1E,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的阻挡金属层106和籽晶铜层(seed copper layer)107a。随后,用籽晶铜层107a作为阴极,通过电镀工艺进一步淀积铜层107b。注意,铜层107a和107b形成铜层107。接着,在N2环境下对铜层107进行退火处理,以使铜层107结晶。
然后,参考图1F,通过CMP工艺去掉绝缘夹层103上的铜层107和阻挡金属层106。
然后,参考图1G,采用SiH4气体通过钝化工艺在铜层107中生长Cu硅化物层108。
最后,参考图1H,采用SiH4气体通过等离子体CVD工艺在整个表面淀积由SiN构成的铜扩散阻挡层109。随后,在铜扩散阻挡层109上形成由二氧化硅构成的绝缘夹层110。
在图1A到1H中所示的第一现有技术方法中,为了抑制铜层107的氧化以及铜从铜层107向由二氧化硅构成的绝缘底层101和绝缘夹层103与110的扩散,铜层107完全被阻挡金属层106和铜扩散阻挡层109包围。
此外,在图1A到1H中所示的第一现有技术方法中,为了抑制铜层107的电迁移,在铜层107的上表面上形成Cu硅化物层108。
在图1A到1G中所示的第一现有技术方法中,因为Cu硅化物层的电阻系数高于Cu,所以由Cu和Cu硅化物层构成的布线层的电阻显著增加了。此外,当在绝缘夹层110中形成通孔时,可能会去掉部分Cu硅化物层108。因此,考虑到这一点,为了可靠地抑制电迁移和压力迁移,Cu硅化物层108不得不更厚,这也使由Cu和Cu硅化物层构成的布线层的电阻显著增加了。另外,如果在生长Cu硅化物层108之前铜层107已经氧化了,则Cu的氧化物在SiH4的环境中将与硅起反应,由此,Cu、Si和O的混合物不规则的生长,这也将使布线层的电阻显著的增加。在最坏的情况下,Cu、Si和O的混合物在布线层和阻挡金属层的外围生长,如果它们彼此接触的话,将导致两个相邻布线层之间的短路。
另一方面,为了降低布线层之间的寄生电容,铜扩散阻挡层109可由具有比SiN的介电常数更低的SiC构成。即,铜扩散阻挡层109可通过采用有机硅烷气体,例如SiH(CH3)3气体或Si(CH3)4气体,而不是SiH4气体进行等离子体CVD工艺来淀积。在这种情况下,在Si和SiH(CH3)3或Si(CH3)4中的有机基之间的键合能量大于SiH4中Si和H之间的键合能量,因此,热分解SiH(CH3)3或Si(CH3)4比热分解SiH4更难。结果,与SiH4气体相比,通过采用SiH(CH3)3气体或Si(CH3)4气体Cu硅化物几乎不生长。注意,如果在铜层107和由SiC构成的Cu扩散阻挡层109之间没有Cu硅化物,它们之间的接触特性将恶化,因此,铜层107的晶体颗粒将不稳定,这将降低电迁移电阻并降低应力迁移电阻,从而铜层107容易被损坏。
图2A到2P是用于说明制造半导体器件的第二现有技术方法的剖面图。在这种情况下,形成两层通孔型双金属线镶嵌结构。
首先,参考图2A,由二氧化硅等制成的绝缘底层201形成在用于形成各种半导体元件的硅衬底(未示出)上。然后,在绝缘层201上通过等离子体CVD工艺由SiON形成蚀刻终止层202。接着,在蚀刻终止层202上通过CVD工艺淀积由二氧化硅构成的绝缘夹层203。随后,抗反射涂层204和光致抗蚀剂层205依次涂覆到绝缘夹层203上。接下来,通过照相制版工艺对光致抗蚀剂层205进行构图,从而在光致抗蚀剂层205中形成沟槽205a。
然后,参考图2B,用光致抗蚀剂层205作为掩模通过干蚀刻工艺对抗反射涂层204和绝缘夹层203进行蚀刻。
然后,参考图2C,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层205和抗反射涂层204进行灰化。
然后,参考图2D,通过干蚀刻工艺对蚀刻终止层202进行回蚀。接着,在绝缘夹层203和绝缘底层201上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
然后,参考图2E,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的阻挡金属层206和籽晶铜层207a。随后,用籽晶铜层207a作为阴极,通过电镀工艺进一步淀积铜层207b。注意,铜层207a和207b形成铜层207。接着,在N2环境下对铜层207进行退火处理,以使铜层207结晶。
然后,参考图2F,通过CMP工艺去掉绝缘夹层203上的铜层207和阻挡金属层206。
然后,参考图2G,在整个表面依次淀积由SiCN构成的铜扩散阻挡层208、由二氧化硅构成的绝缘夹层209、由SiCN构成的蚀刻终止层210以及由二氧化硅构成的绝缘夹层211。随后,抗反射涂层212和光致抗蚀剂层213依次涂覆到绝缘夹层211上。通过照相制版工艺对光致抗蚀剂层213进行构图,从而在光致抗蚀剂层213中形成通孔213a。
然后,参考图2H,采用CF基气体等离子体通过干蚀刻工艺对抗反射涂层212和绝缘夹层211、蚀刻终止层210和绝缘夹层209进行蚀刻,并用铜扩散阻挡层208作为蚀刻终止层。在这种情况下,因为铜扩散阻挡层208为不完全蚀刻阻挡层,所以铜扩散阻挡层208也可以如X所指示地被蚀刻。
然后,参考图2I,采用O2气体等离子体通过干蚀刻工艺对光致抗蚀剂层213和抗反射层212进行蚀刻。在这种情况下,铜层207的暴露部分被氧化,从而在铜层207中生长铜氧化层207c。
然后,参考图2J,抗反射涂层214和光致抗蚀剂层215依次涂覆到整个表面上。随后,通过照相制版工艺对光致抗蚀剂层215进行构图,从而在光致抗蚀剂层215中形成沟槽215a。在这种情况下,抗反射涂层214埋在通孔213a中。
然后,参考图2K,采用CF基气体等离子体通过干蚀刻工艺对绝缘夹层211和蚀刻终止层210进行蚀刻,并用光致抗蚀剂层215作为掩模。
然后,参考图2L,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层215和抗反射层214进行灰化。在这种情况下,在铜层207中进一步生长铜氧化层207c。
然后,参考图2M,通过干蚀刻工艺对铜扩散阻挡层208进行回蚀。接着,在绝缘夹层211、蚀刻终止层210、绝缘夹层209和铜扩散阻挡层208上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
然后,参考图2N,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的阻挡金属层216和籽晶铜层217a。随后,用籽晶铜层217a作为阴极,通过电镀工艺进一步淀积铜层217b。注意,铜层217a和217b形成铜层217。接着,在N2环境下对铜层217进行退火处理,以使铜层217结晶。
然后,参考图2O,通过CMP工艺去掉绝缘夹层211上的铜层217和阻挡金属层216。
最后,参考图2P,在整个表面通过等离子体CVD工艺淀积由SiCN构成的铜扩散阻挡层218。
在如图2A到2P中所示的方法中,当铜扩散阻挡层208过蚀刻时,铜层207被采用O2气体等离子体的干灰化工艺氧化,这降低了通孔结构的成品率并增加了通孔结构的电迁移。如果用于绝缘夹层211和209的照相制版工艺和蚀刻工艺失败,重复用于绝缘夹层211和209的照相制版工艺和蚀刻工艺。在这种情况下,因为铜层207被采用O2气体等离子体的干灰化工艺进一步氧化,通孔结构的成品率进一步降低,如图3所示。中间一型双金属线镶嵌结构和沟槽一型双金属线镶嵌结构也存在该问题。
图4示出了根据本发明用于制造半导体器件的常规平行板型等离子体CVD装置,参考数字41表示处理室,多种反应气体从供气部分42通过气流速率控制器43输送到其中,反应后的气体通过排气部分44排出,从而处理室41中的压力保持恒定值。处理室41具有上板电极45和下板电极46,从射频(RF)源47向其提供射频功率。电极46的下表面固定在加热器48上,而电极46的上表面用于安装半导体晶片49。气流速率控制器43、排气部分44、RF源47和加热器48由计算机50控制。
例如,当在半导体晶片49上淀积SiN层时,从供气部分42通过由计算机50控制的气流速率控制器43将SiH4气体、NH3气体和N2气体输送到处理室41中。加热器48也由计算机50控制,从而使处理室41中的温度保持为预定值。此外,通过由计算机50控制的RF功率源47提供预定的RF功率。而且,排气部分44由计算机50控制,从而使处理压力保持为预定值。
图5A到5J是用于说明根据本发明用于制造半导体器件的方法的第一实施例的剖面图。在这种情况下,形成单层单金属线镶嵌结构。
首先,参考图5A,与图1A中所示方法相同,由二氧化硅等制成的绝缘底层101形成在用于形成各种半导体元件的硅衬底(未示出)上。然后,在绝缘层101上通过等离子体工艺由SiCN形成大约50nm厚的蚀刻终止层102。接着,在蚀刻终止层102上通过等离子体CVD工艺淀积由二氧化硅构成的大约400nm厚的绝缘夹层103。随后,抗反射涂层104和光致抗蚀剂层105依次涂覆到绝缘夹层103上。接下来,通过照相制版工艺对光致抗蚀剂层105进行构图,从而在光致抗蚀剂层105中形成沟槽105a。注意,绝缘夹层103可以采用具有比二氧化硅更低的介电常数的低k材料制成。
然后,参考图5B,与图1B中所示方法相同,用光致抗蚀剂层105作为掩模通过干蚀刻工艺对抗反射涂层104和绝缘夹层103进行蚀刻。
然后,参考图5C,与图1C中所示方法相同,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层105和抗反射涂层104进行灰化。
然后,参考图5D,与图1D中所示方法相同,通过干蚀刻工艺对蚀刻终止层102进行回蚀。接着,在绝缘夹层103和绝缘底层101上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
然后,参考图5E,与图1E中所示方法相同,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的大约30nm厚的阻挡金属层106和大约100nm厚的籽晶铜层107a。随后,用籽晶铜层107a作为阴极,通过电镀工艺进一步淀积大约700nm厚的铜层107b。注意,铜层107a和107b形成铜层107。接着,在N2环境中大约400℃下对铜层107进行退火处理大约30分钟,以使铜层107结晶。
然后,参考图5F,与图1F中所示方法相同,通过CMP工艺去掉绝缘夹层103上的铜层107和阻挡金属层106。
然后,参考图5G,在半导体器件被清洁和冲洗之后,半导体器件被放入图4的等离子体CVD装置中。随后,在图4的等离子体CVD装置中,在以下条件下对铜层107的表面进行5秒钟的等离子体工艺:
温度:200到450℃
NH3气体:50到2000sccm
处理压力:1到20 Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
因此,在铜层107的表面上的Cu氧化物(未示出)通过用氢还原而被去掉。注意,除了NH3还原气体也可以采用含氢的其它气体。此外,在下面的条件下,可使用包括N2气、He气或Ar气的蚀刻气体蚀刻Cu氧化物:
温度:200到450℃
处理压力:1到20Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
然后,参考图5H,在图4的等离子体CVD装置中,在下面的条件下,对铜层107进行120秒的加热处理:
温度:200到450℃
SiH4气体:10到1000sccm
N2(或Ar、He等)气体:0到5000sccm
处理压力:0到20 Torr(0到2666.4Pa)。
由此,铜层107转换为含有硅的铜层111。注意,在温度为200到450℃并且处理压力小于20 Torr(2666Pa)的条件下可用无机硅烷气体,例如Si2H6气体或SiH2Cl6代替SiH4气体,以减少处理时间。随后,在图4的等离子体CVD装置中,根据实际需要,在下面的条件下对含有硅的铜层111和绝缘夹层103进行3秒的等离子体处理:
NH3气体:10到1000sccm
N2气体:0到5000sccm
处理压力:1到20 Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
由此,在含有硅的铜层111和绝缘夹层103的表面的硅(未示出)被氮化。注意,在表面上的硅可以被采用Ar(或He)气体的等离子体工艺蚀刻。
然后,参考图5I,在图4的等离子体CVD装置中,在下面的条件下进行等离子体工艺:
SiH(CH3)3气体:10到1000sccm
NH3气体:10到500sccm
He气体:0到5000sccm
处理压力:1到20 Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
由此,在整个表面上淀积由SiCN构成的大约50nm厚的铜扩散阻挡层109。在这种情况下,含有硅的铜层111的上表面上的硅深深地扩散进入铜层。结果,在含有硅的铜层111中硅组分的分布如图6所示,其中绝缘底层(SiO2)直接与含有硅的铜层接触,没有阻挡金属层。即,在含有硅的铜层111中越深的位置,Si的浓度越低。结果,可以改进含有硅的铜层111和铜扩散阻挡层109之间的接触特性。而且,使得硅组分和铜组分的比低于8atoms%,从而不产生具有大电阻的Cu硅化物(参见图7的Si-Cu相图)。
注意,铜扩散阻挡层109可由SiC、SiCN、SiOC或有机材料,例如,苯并环丁烯(benzocycrobutene)在图4的等离子体CVD装置中通过等离子体工艺制成。此外,铜扩散阻挡层109可由SiC、SiCN、SiOC或上述有机材料的多层构成。
最后,参考图5J,在铜扩散阻挡层109上形成由二氧化硅构成的大约500nm厚的绝缘夹层110。注意,绝缘夹层110可以采用具有比二氧化硅更低的介电常数的低k材料制成。
在图5A到5J所示的方法中,因为在图5G、5H和5I中所示的三个工艺都在图4的等离子体CVD装置中依次进行,半导体器件没有暴露在空气中,所以,在含有硅的铜层111和铜扩散阻挡层109之间没有氧化物生长。
而且,因为硅扩散到整个含有硅的铜层111中,所以在含有硅的铜层111中铜原子的迁移可以被抑制。此外,因为含有硅的铜层111中硅的总量小于图1H的Cu硅化物层108中的硅的总量,所以可以抑制布线层,即,含有硅的铜层111,的电阻的增加。另外,在后续的工序中,即使含有硅的铜层111被蚀刻工艺蚀刻掉,因为在蚀刻的表面存在硅,所以抑制了含有硅的铜层111的氧化,由此增加了成品率。
下面参考代替图5F和5G的图8A和8B介绍在图5A到5J中介绍的制造方法的变型。
参考图8A,在进行CMP工艺之后,清洁和冲洗半导体器件。在这种情况下,因为纯水导致Cu的氧化物(未示出)在铜层107上生长,所以用草酸溶液去掉Cu的氧化物。然后,将半导体器件浸入到1%的稀释的苯并三唑(BTA)溶液中。结果,BTA与Cu的氧化物反应,从而在铜层107上形成作为氧化阻挡层的BTA层121。注意,用草酸去掉Cu的氧化物的步骤可以取消。
然后,参考图8B,将半导体器件放入图4的等离子体CVD装置中。随后,在图4的等离子体CVD装置中,在下面的条件下在BTA层121上进行2分钟的加热工艺:
温度:200到450℃
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)。
在这种情况下,注意,至少NH3气体、H2气体、He气体、Ar气体和SiH4气体中的一种可以代替N2气。即,NH3气体或H2气体与铜层107和BTA层121之间剩余的Cu氧化物反应,从而去掉剩余的Cu氧化物。另外,在200到450℃的热处理和小于20Torr(2666Pa)的压力下没有任何气体能去掉BTA层121。注意,在200到450℃、小于20Torr(2666Pa)的压力和RF功率为50到500W下进行该等离子体工艺。结果,BTA层121热分解了。随后,继续执行图5H中所示的工艺。
即使在该变型中,因为在图8A、5H和5I中所示的三个工艺都在图4的等离子体CVD装置中依次进行,半导体器件没有暴露在空气中,所以,在含有硅的铜层111和铜扩散阻挡层109之间没有氧化物生长。
图9A到9S是用于说明根据本发明用于制造半导体器件的方法的第二实施例的剖面图。在这种情况下,形成双层单金属线镶嵌结构。
假设已完成图5J中所示的半导体器件。在这种情况下,含有硅的铜层111作为下布线层。
然后,参考图9A,抗反射涂层131和光致抗蚀剂层132依次涂覆到绝缘夹层110上。随后,通过照相制版工艺对光致抗蚀剂层132进行构图,从而在光致抗蚀剂层132中形成通孔132a。
然后,参考图9B,采用光致抗蚀剂层132作为掩模,用干蚀刻工艺对绝缘夹层110和抗反射涂层131进行蚀刻。在这种情况下,因为铜扩散阻挡层109为不完全蚀刻阻挡层,所以铜扩散阻挡层109也可以如X所指示地被蚀刻。
然后,参考图9C,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层132和抗反射层131进行灰化。在这种情况下,因为含有硅的铜层111的表面的硅浓度高,并且Si的电负性(electronegativity)大于Cu的,所以含有硅的铜层111的暴露部分的硅组分被氧化,从而与通孔132a自对准在含有硅的铜层111中生长硅氧化物层111a。硅氧化物层111a作为铜氧化阻挡层。
然后,参考图9D,通过干蚀刻工艺对铜扩散阻挡层109进行回蚀。接着,在绝缘夹层110上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
注意,图9D所示的工艺可在图9C所示工艺之前进行。
然后,参考图9E,通过等离子体蚀刻工艺蚀刻硅氧化物层111a。
然后,参考图9F,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的大约30nm厚的阻挡金属层133和大约100nm厚的籽晶铜层134a。随后,用籽晶铜层217a作为阴极,通过电镀工艺进一步淀积大约700nm厚的铜层134b。注意,铜层134a和134b形成铜层134。接着,在N2环境中大约400℃下对铜层134进行大约30分钟的退火处理,以使铜层134结晶。
然后,参考图9G,通过CMP工艺去掉绝缘夹层110上的铜层134和阻挡金属层133。
然后,参考图9H,在半导体器件被清洁和冲洗之后,半导体器件被放入图4的等离子体CVD装置中。随后,在图4的等离子体CVD装置中,在以下条件下对铜层134的表面进行5秒钟的等离子体工艺:
温度:200到450℃
NH3气体:10到1000sccm
处理压力:0到20Torr(0到2666.4Pa)
RF功率:50到500W。
因此,在铜层134的表面上的Cu氧化物(未示出)通过用氢还原而被去掉。注意,除了NH3,还原气体也可以采用含氢的其它气体。此外,在下面的条件下,可用包括N2气、He气或Ar气的蚀刻气体蚀刻Cu氧化物:
温度:200到450℃
处理压力:1到20Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
然后,参考图9I,在图4的等离子体CVD装置中,在下面的条件下,对铜层134进行120秒的加热处理:
温度:200到450℃
SiH4气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)。
由此,铜层134转换为含有硅的铜层135。注意,在温度为200到450℃并且处理压力小于20Torr(2666Pa)的条件下可用无机硅烷气体,例如Si2H6气体或SiH2Cl6代替SiH4气体,以减少处理时间。随后,在图4的等离子体CVD装置中,根据实际需要,在下面的条件下对含有硅的铜层135和绝缘夹层110进行3秒的等离子体处理:
NH3气体:10到1000sccm
N2气体:0到5000sccm
处理压力:1到20Torr(133.3到2666.6Pa)
RF功率:50到500W。
由此,在含有硅的铜层135和绝缘夹层110的表面的硅(未示出)被氮化。注意,在表面上的硅可以被采用Ar(或He)气体的等离子体工艺蚀刻。
然后,参考图9J,在图4的等离子体CVD装置中,在下面的条件下进行等离子体工艺:
SiH(CH3)3气体:10到1000sccm
NH3气体:10到500sccm
He气体:0到5000sccm
处理压力:1到20Torr(133.3到2666.4Pa)
RF功率:50到500W。
由此,在整个表面上淀积由SiCN构成的大约50nm厚的铜扩散阻挡层136。在这种情况下,含有硅的铜层135的上表面上的硅深深地扩散进入铜层。结果,在含有硅的铜层135中硅组分的分布如图6所示。即,在含有硅的铜层135中越深的位置,Si的浓度越低。结果,可以改进含有硅的铜层135和铜扩散阻挡层136之间的接触特性。而且,使硅组分和铜组分的比低于8atoms%,从而不产生具有大电阻的Cu硅化物(参见图7的Si-Cu相图)。
注意,铜扩散阻挡层136可由SiC、SiCN、SiOC或有机材料,例如,碳氟化合物聚合物或无定形碳,在图4的等离子体CVD装置中通过等离子体工艺制成。此外,铜扩散阻挡层136可由SiC、SiCN、SiOC和上述有机材料的多层构成。
然后,参考图9K,在铜扩散阻挡层136上涂覆具有比二氧化硅更低的介电常数的低k材料,例如,SiOF、SiOC、有机材料或无机材料,例如,阶梯型含氢硅氧烷,构成的大约300nm厚的绝缘夹层137。随后,通过等离子体CVD工艺在绝缘夹层137上淀积由二氧化硅构成的大约100nm厚的掩模绝缘层138。接着,抗反射涂层139和光致抗蚀剂层140依次涂覆到绝缘夹层138上。接下来,通过照相制版工艺对光致抗蚀剂层140进行构图,从而在光致抗蚀剂层140中形成沟槽140a。
然后,参考图9L,用光致抗蚀剂层140作为掩模通过干蚀刻工艺对掩模绝缘层138和绝缘夹层137进行蚀刻。即使在这种情况下,因为铜扩散阻挡层136为不完全蚀刻阻挡层,所以铜扩散阻挡层136也可以被蚀刻,虽然未示出。
然后,参考图9M,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层140和抗反射涂层139进行灰化。在这种情况下,因为含有硅的铜层135的表面的硅浓度高,并且Si的电负性大于Cu的,所以含有硅的铜层135的暴露部分的硅组分被氧化,从而与沟槽140a自对准在含有硅的铜层135中生长硅氧化物层(未示出)。硅氧化物层作为铜氧化阻挡层。
然后,参考图9N,通过干蚀刻工艺对铜扩散阻挡层136进行回蚀。接着,在8和绝缘夹层137上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。随后,用等离子体蚀刻工艺蚀刻在含有硅的铜层135上的硅层(未示出)。
注意,图9N所示的工艺可在图9M所示工艺之前进行。
然后,参考图9O,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的大约30nm厚的阻挡金属层141和大约100nm厚的籽晶铜层142a。随后,用籽晶铜层142a作为阴极,通过电镀工艺进一步淀积大约700nm厚的铜层142b。注意,铜层142a和142b形成铜层142。接着,在N2环境中大约400℃下对铜层142进行大约30分钟的退火处理,以使铜层142结晶。
然后,参考图9P,通过CMP工艺去掉绝缘夹层138上的铜层142和阻挡金属层141。
然后,参考图9Q,在半导体器件被清洁和冲洗之后,半导体器件被放入图4的等离子体CVD装置中。随后,在图4的等离子体CVD装置中,在以下条件下对铜层142的表面进行5秒钟的等离子体工艺:
温度:200到450℃
NH3气体:10到1000sccm
处理压力:0到20Torr(0到2666.4Pa)
RF功率:50到500W。
因此,在铜层142的表面上的Cu氧化物(未示出)通过用氢还原而被去掉。注意,除了NH3,还原气体也可以采用含氢的其它气体。此外,在下面的条件下,可用包括N2气、He气或Ar气的蚀刻气体蚀刻Cu氧化物:
温度:200到450℃
处理压力:1到20Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
然后,参考图9R,在图4的等离子体CVD装置中,在下面的条件下,对铜层142进行120秒的加热处理:
温度:200到450℃
SiH4气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)。
由此,铜层142转换为含有硅的铜层143。注意,在温度为200到450℃并且处理压力小于20Torr(2666Pa)的条件下可用无机硅烷气体,例如Si2H6气体或SiH2Cl6代替SiH4气体,以减少处理时间。随后,在图4的等离子体CVD装置中,根据实际需要,在下面的条件下对含有硅的铜层143和掩模绝缘层138进行3秒的等离子体处理:
NH3气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)
RF功率:50到500W。
由此,在含有硅的铜层143和掩模绝缘层138的表面的硅(未示出)被氮化。注意,在表面上的硅可以被采用Ar(或He)气体的等离子体工艺蚀刻。
最后,参考图9S,在图4的等离子体CVD装置中,在下面的条件下进行等离子体工艺:
SiH(CH3)3气体:10到1000sccm
NH3气体:10到500sccm
He气体:0到5000sccm
处理压力:1到20Torr(133.3到2666.4Pa)
RF功率:50到500W。
由此,在整个表面上淀积由SiCN构成的大约50nm厚的铜扩散阻挡层144。在这种情况下,含有硅的铜层143的上表面上的硅深深地扩散进入铜层。结果,在含有硅的铜层143中硅组分的分布如图6所示。即,在含有硅的铜层143中越深的位置,Si的浓度越低。结果,可以改进含有硅的铜层143和铜扩散阻挡层144之间的接触特性。而且,使硅组分和铜组分的比低于8atoms%,从而不产生具有大电阻的Cu硅化物(参见图7的Si-Cu相图)。
注意,铜扩散阻挡层144可由SiC、SiCN、SiOC或有机材料,例如,苯并环丁烯,在图4的等离子体CVD装置中通过等离子体工艺制成。此外,铜扩散阻挡层144可由SiC、SiCN、SiOC和上述有机材料的多层构成。
即使在图9A到9S所示的方法中,因为在用于含有硅的铜层111、135和143的三个工艺都在图4的等离子体CVD装置中依次进行,半导体器件没有暴露在空气中,所以,在含有硅的铜层111、135和143以及铜扩散阻挡层109、136和144之间没有氧化物生长。
而且,因为硅扩散到整个含有硅的铜层111、135和143中,所以在含有硅的铜层111、135和143中铜原子的迁移可以被抑制。此外,因为含有硅的铜层111、135和143中硅的总量小于图1H的Cu硅化物层108中的硅的总量,所以可以抑制布线层,即,含有硅的铜层111、135和143,的电阻的增加。另外,抑制了含有硅的铜层111、135和143的氧化,由此增加了成品率。
在图8A和8B中示出的采用草酸溶液和苯并三唑(BTA)溶液的变型也可以用在图9A到9S所示的方法中。
注意,在图9A到9S所示的实施例中,含有硅的铜层135可由常规金属层,例如,铜层134,代替。在这种情况下,不需要将铜层134变为含有硅的铜层135。
图10A到10V是用于说明根据本发明用于制造半导体器件的方法的第三实施例的剖面图。在这种情况下,形成双层通孔一型双金属线镶嵌结构。
首先,参考图10A,由二氧化硅等制成的绝缘底层201形成在用于形成各种半导体元件的硅衬底(未示出)上。然后,在绝缘层201上通过等离子体CVD工艺由SiCN形成大约50nm厚的蚀刻终止层202。接着,在蚀刻终止层202上通过CVD工艺淀积具有比二氧化硅更低的介电常数的低k材料,例如,SiOF、SiOC、有机材料或无机材料,例如,阶梯型含氢硅氧烷,构成的大约300nm厚的绝缘夹层203a。随后,通过等离子体CVD工艺在绝缘夹层203a上淀积由二氧化硅构成的大约100nm厚的掩模绝缘层203b。然后,抗反射涂层204和光致抗蚀剂层205依次涂覆到掩模绝缘层203b上。接下来,通过照相制版工艺对光致抗蚀剂层205进行构图,从而在光致抗蚀剂层205中形成沟槽205a。
然后,参考图10B,用光致抗蚀剂层205作为掩模通过干蚀刻工艺对掩模绝缘层203b和绝缘夹层203a进行蚀刻。
然后,参考图10C,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层205和抗反射涂层204进行灰化。
然后,参考图10D,通过干蚀刻工艺对蚀刻终止层202进行回蚀。接着,在掩模绝缘层203b和绝缘夹层203a上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
然后,参考图10E,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的大约30nm厚的阻挡金属层206和大约100nm厚的籽晶铜层207a。随后,用籽晶铜层207a作为阴极,通过电镀工艺进一步淀积大约700nm厚的铜层207b。注意,铜层207a和207b形成铜层207。接着,在N2环境、大约400℃的温度下对铜层207进行大约30分钟的退火处理,以使铜层207结晶。
然后,参考图10F,通过CMP工艺去掉绝缘夹层203上的铜层207和阻挡金属层206。
然后,参考图10G,在半导体器件被清洁和冲洗之后,半导体器件被放入图4的等离子体CVD装置中。随后,在图4的等离子体CVD装置中,在以下条件下对铜层207的表面进行5秒钟的等离子体工艺:
温度:200到450℃
NH3气体:10到1000sccm
处理压力:0到20Torr(0到2666.4Pa)
RF功率:50到500W。
因此,在铜层207的表面上的Cu氧化物(未示出)通过用氢还原而被去掉。注意,除了NH3,还原气体也可以采用含氢的其它气体。此外,在下面的条件下,可用包括N2气、He气或Ar气的蚀刻气体蚀刻Cu氧化物:
温度:200到450℃
处理压力:1到20Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
然后,参考图10H,在图4的等离子体CVD装置中,在下面的条件下,对铜层207进行加热处理:
温度:200到450℃
SiH4气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)。
由此,铜层207转换为含有硅的铜层221。注意,在温度为200到450℃并且处理压力小于20Torr(2666Pa)的条件下可用无机硅烷气体,例如Si2H6气体或SiH2Cl6代替SiH4气体,以减少处理时间。随后,在图4的等离子体CVD装置中,根据实际需要,在下面的条件下对含有硅的铜层221和掩模绝缘层203b进行3秒的等离子体处理:
NH3气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.6Pa)
RF功率:50到500W。
由此,在含有硅的铜层221和掩模绝缘层203b的表面的硅(未示出)被氮化。注意,在表面上的硅可以被采用Ar气体的等离子体工艺蚀刻。
然后,参考图10I,在图4的等离子体CVD装置中,在下面的条件下进行等离子体工艺:
SiH(CH3)3气体:10到1000sccm
NH3气体:10到500sccm
He气体:0到5000sccm
处理压力:1到20Torr(199.9到2666.4Pa)
RF功率:50到500W。
由此,在整个表面上淀积由SiCN构成的大约50nm厚的铜扩散阻挡层208。在这种情况下,含有硅的铜层221的上表面上的硅深深地扩散进入铜层。结果,在含有硅的铜层221中硅组分的分布如图6所示,其中绝缘底层(SiO2)直接与含有硅的铜层接触,没有阻挡金属层。即,在含有硅的铜层221中越深的位置,Si的浓度越低。结果,可以改进含有硅的铜层221和铜扩散阻挡层208之间的接触特性。而且,使硅组分和铜组分的比低于8atoms%,从而不产生具有大电阻的Cu硅化物(参见图7的Si-Cu相图)。
然后,参考图10J,在铜扩散阻挡层208上淀积由二氧化硅构成的大约400nm厚的绝缘夹层209和由SiCN构成的大约500nm厚的蚀刻终止层210。随后,在蚀刻终止层210上涂覆具有比二氧化硅更低的介电常数的低k材料,例如,SiOF、SiOC、有机材料或无机材料,例如,阶梯型含氢硅氧烷,构成的大约300nm厚的绝缘夹层211a。随后,通过等离子体CVD工艺在绝缘夹层211a上淀积由二氧化硅构成的大约100nm厚的掩模绝缘层211b。接着,抗反射涂层212和光致抗蚀剂层213依次涂覆到绝缘夹层211b上。接下来,通过照相制版工艺对光致抗蚀剂层213进行构图,从而在光致抗蚀剂层213中形成沟槽213a。
然后,参考图10K,用光致抗蚀剂层213作为掩模通过干蚀刻工艺对掩模绝缘层211b、绝缘夹层211a、蚀刻终止层210和绝缘夹层209进行蚀刻。在这种情况下,因为铜扩散阻挡层208为不完全蚀刻阻挡层,所以铜扩散阻挡层208也可以被蚀刻,如X所指示的。
然后,参考图10L,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层213和抗反射涂层212进行灰化。在这种情况下,因为含有硅的铜层221的表面的硅浓度高,并且Si的电负性大于Cu的,所以含有硅的铜层221的暴露部分的硅组分被氧化,从而与通孔213a自对准在含有硅的铜层221中生长硅氧化物层221a(未示出)。硅氧化物层221a作为铜氧化阻挡层。
然后,参考图10M,抗反射层214和光致抗蚀剂层215依次涂覆到整个表面上。随后,通过照相制版工艺对光致抗蚀剂层215进行构图,从而在光致抗蚀剂层215中形成沟槽215a。在这种情况下,抗反射层214埋在通孔213a中。
然后,参考图10N,采用CF基气体等离子体通过干蚀刻工艺对掩模绝缘层211b、绝缘夹层211和蚀刻终止层210进行蚀刻,并用光致抗蚀剂层215作为掩模。
然后,参考图10O,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层215和抗反射层214进行灰化。在这种情况下,因为硅氧化物层221a作为氧化阻挡层,所以含有硅的铜层221几乎没有被氧化。
然后,参考图10P,通过干蚀刻工艺对铜扩散阻挡层208进行回蚀。接着,在掩模绝缘层211b、绝缘夹层211a、蚀刻终止层210、绝缘夹层209和铜扩散阻挡层208上进行湿脱模工艺,从而完全去掉干蚀刻工艺的剩余物。
注意,图10P中所示工艺可以在图10O中所示工艺之前进行。
然后,参考图10Q,由等离子体蚀刻工艺对硅氧化物层221a进行蚀刻。
然后,参考图10R,通过溅射工艺在整个表面上依次淀积由TaN上的Ta构成的大约30nm厚的阻挡金属层216和大约100nm厚的籽晶铜层217a。随后,用籽晶铜层217a作为阴极,通过电镀工艺进一步淀积大约700nm厚的铜层217b。注意,铜层217a和217b形成铜层217。接着,在N2环境、大约400℃的温度下对铜层217进行30分钟的退火处理,以使铜层217结晶。
然后,参考图10S,通过CMP工艺去掉绝缘夹层211b上的铜层217和阻挡金属层216。
然后,参考图10T,在半导体器件被清洁和冲洗之后,半导体器件被放入图4的等离子体CVD装置中。随后,在图4的等离子体CVD装置中,在以下条件下对铜层217的表面进行5秒钟的等离子体工艺:
温度:200到450℃
NH3气体:50到2000sccm
处理压力:0到20Torr(0到2666.4Pa)
RF功率:50到500W。
因此,在铜层217的表面上的Cu氧化物(未示出)通过用氢还原而被去掉。注意,除了NH3还原气体也可以采用含氢的其它气体。此外,在下面的条件下,可用包括N2气、He气或Ar气的蚀刻气体蚀刻Cu氧化物:
温度:200到450℃
处理压力:1到20Torr(133.3到2666.4Pa)
在100kHz到13.56MHz的高频波的RF功率:50到500W。
然后,参考图10U,在图4的等离子体CVD装置中,在下面的条件下,对铜层217进行120秒的加热处理:
温度:200到450℃
SiH4气体:10到1000sccm
N2气体:0到4000sccm
处理压力:0到20Torr(0到2666.4Pa)。
由此,铜层217转换为含有硅的铜层222。注意,在温度为200到450℃并且处理压力小于20Torr(2666Pa)的条件下可用无机硅烷气体,例如Si2H6气体或SiH2Cl6代替SiH4气体,以减少处理时间。随后,在图4的等离子体CVD装置中,根据实际需要,在下面的条件下对含有硅的铜层222和掩模绝缘层21b进行3秒的等离子体处理:
NH3气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)
RF功率:50到500W。
由此,在含有硅的铜层222和掩模绝缘层211b的表面的硅(未示出)被氮化。注意,在表面上的硅可以被采用Ar气体的等离子体工艺蚀刻。
最后,参考图10V,在图4的等离子体CVD装置中,在下面的条件下进行等离子体工艺:
SiH(CH3)3气体:10到1000sccm
NH3气体:10到500sccm
He气体:0到5000sccm
处理压力:1到20Torr(199.9到2666.4Pa)
RF功率:50到500W。
由此,在整个表面上淀积由SiCN构成的大约50nm厚的铜扩散阻挡层218。在这种情况下,含有硅的铜层222的上表面上的硅深深地扩散进入铜层。结果,在含有硅的铜层222中硅组分的分布如图6所示。即,在含有硅的铜层222中越深的位置,Si的浓度越低。结果,可以改进含有硅的铜层222和铜扩散阻挡层218之间的接触特性。而且,使硅组分和铜组分的比低于8atoms%,从而不产生具有大电阻的Cu硅化物(参见图7的Si-Cu相图)。
注意,铜扩散阻挡层208和218可由SiC、SiCN、SiOC或有机材料,例如,苯并环丁烯,在图4的等离子体CVD装置中通过等离子体工艺制成。此外,铜扩散阻挡层208和218可由SiC、SiCN、SiOC和上述有机材料的多层构成。
在图10A到10V所示的方法中,可以取消蚀刻终止层210。
即使在图10A到10V所示的方法中,因为在用于含有硅的铜层221和222的三个工艺都在图4的等离子体CVD装置中依次进行,半导体器件没有暴露在空气中,所以,在含有硅的铜层221和222以及铜扩散阻挡层208和218之间没有氧化物生长。
而且,因为硅扩散到整个含有硅的铜层221和222中,所以在含有硅的铜层221和222中铜原子的迁移可以被抑制。此外,因为含有硅的铜层221和222中硅的总量小于图1H的Cu硅化物层108中的硅的总量,所以可以抑制布线层,即,含有硅的铜层221和222,的电阻的增加。结果,如图11所示,与由纯Cu或纯Cu加Cu硅化物制成的层221和222相比,改善了电迁移和应力迁移阻抗时间。另外,抑制了含有硅的铜层221和222的氧化,由此增加了成品率,如图12所示。
在图8A和8B中示出的采用草酸溶液和苯并三唑(BTA)溶液的变型也可以用在图10A到10V所示的方法中。
图13A到13F是用于说明根据本发明用于制造半导体器件的方法的第四实施例的剖面图。在这种情况下,形成双层中间一型双金属线镶嵌结构。
首先,进行图10A到10I所示工艺。
然后,参考图13A,光致抗蚀剂层213涂覆到蚀刻终止层210上。随后,通过照相制版工艺对光致抗蚀剂层213进行构图,从而在光致抗蚀剂层213中形成通孔213a。
然后,参考图13B,用光致抗蚀剂层213作为掩模用干蚀刻工艺对蚀刻终止层210进行蚀刻。
然后,参考图13C,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层213和抗反射涂层212进行灰化。
然后,参考图13D,在蚀刻终止层210上涂覆具有比二氧化硅更低的介电常数的低k材料,例如,SiOF、SiOC、有机材料或无机材料,例如,阶梯型含氢硅氧烷,构成的大约300nm厚的绝缘夹层211a。随后,通过等离子体CVD工艺在绝缘夹层211a上淀积由二氧化硅构成的大约100nm厚的掩模绝缘层211b。接着,光致抗蚀剂层215涂覆到整个表面上。接下来,通过照相制版工艺对光致抗蚀剂层215进行构图,从而在光致抗蚀剂层215中形成沟槽215a。
然后,参考图13E,用光致抗蚀剂层215作为掩模,采用CF基气体等离子体通过干蚀刻工艺对掩模绝缘层211b、绝缘夹层211a、蚀刻终止层210和铜扩散阻挡层208进行蚀刻。在这种情况下,因为铜扩散阻挡层208为不完全蚀刻阻挡层,所以铜扩散阻挡层208也可以被蚀刻,如X所指示的。
然后,参考图13F,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层215进行灰化。在这种情况下,因为硅氧化物层221a作为铜氧化阻挡层,所以含有硅的铜层221几乎不会被氧化。
之后,进行如图10P、10Q、10R、10S、10T、10U和10V中所示的工艺。在这种情况下,图10P中所示工艺可以在图10O中所示工艺之前进行。
在图10A到10I、图13A到13F和图10P到10V所示的方法中,可以取消蚀刻终止层210。
即使在图10A到10I、图13A到13F和图10P到10V所示的方法中,因为在用于含有硅的铜层221和222的三个工艺都在图4的等离子体CVD装置中依次进行,半导体器件没有暴露在空气中,所以,在含有硅的铜层221和222以及铜扩散阻挡层208和218之间没有氧化物生长。
而且,因为硅扩散到整个含有硅的铜层221和222中,所以在含有硅的铜层221和222中铜原子的迁移可以被抑制。此外,因为含有硅的铜层221和222中硅的总量小于图1H的Cu硅化物层108中的硅的总量,所以可以抑制布线层,即,含有硅的铜层221和222,的电阻的增加。结果,如图11所示,与由纯Cu或纯Cu加Cu硅化物制成的层221和222相比,改善了电迁移和应力迁移阻抗时间。另外,抑制了含有硅的铜层221和222的氧化,由此增加了成品率,如图12所示。
在图8A和8B中示出的采用草酸溶液和苯并三唑(BTA)溶液的变型也可以用在图10A到10I、图13A到13F和图10P到10V所示的方法中。
在图13A中,光致抗蚀剂213直接涂覆到由SiCN构成的蚀刻终止层210上,而不用抗反射层。这是因为蚀刻终止层210是亲水的,从而抗反射层对于蚀刻终止层210的可湿性变差,由此引起抗反射层的不均匀。此外,当去掉抗反射层时,有可能破坏蚀刻终止层210。另一方面,光致抗蚀剂215直接涂覆到由二氧化硅构成的绝缘夹层211b上,而不用抗反射层。这是因为绝缘夹层211b具有大的凹坑,可能会填充大量的抗反射层,从而不能用在图13E中所示的干蚀刻工艺中。
缺少这种抗反射层可由具有如图14所示的低反射率特性的含有硅的铜层211补偿,其中纯Cu具有32%的反射率,而含硅铜的反射率小于2%。
因此,改进的照相制版工艺可以改进成品率和可靠性。
图15A到15F是用于说明根据本发明用于制造半导体器件的方法的第五实施例的剖面图。在这种情况下,形成双层沟槽一型双金属线镶嵌结构。
首先,进行图10A到10I所示工艺。
然后,参考图15A,在铜扩散阻挡层208上淀积由二氧化硅构成的大约400nm厚的绝缘夹层209和由SiCN构成的大约500nm厚的蚀刻终止层210。随后,在蚀刻终止层210上涂覆具有比二氧化硅更低的介电常数的低k材料,例如,SiOF、SiOC、有机材料或无机材料,例如,阶梯型含氢硅氧烷,构成的大约300nm厚的绝缘夹层211a。随后,通过等离子体CVD工艺在绝缘夹层211a上淀积由二氧化硅构成的大约100nm厚的掩模绝缘层211b。
然后,参考图15A,抗反射涂层214和光致抗蚀剂层215依次涂覆到绝缘夹层211b上。随后,通过照相制版工艺对光致抗蚀剂层215进行构图,从而在光致抗蚀剂层215中形成沟槽215a。
然后,参考图15B,用光致抗蚀剂层215作为掩模通过干蚀刻工艺对抗反射层214、掩模绝缘层211b和绝缘夹层211a、蚀刻终止层210绝缘夹层209进行蚀刻。
然后,参考图15C,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层215和抗反射涂层214进行灰化。
然后,参考图15D,通过干蚀刻工艺回蚀蚀刻终止层210。
注意,图15D中所示工艺可以在图15C中所示工艺之前进行。
然后,参考图15E,光致抗蚀剂层213涂覆到整个表面上。随后,通过照相制版工艺对光致抗蚀剂层213进行构图,从而在光致抗蚀剂层213中形成通孔213a。
然后,参考图15F,采用CF基气体等离子体通过干蚀刻工艺对绝缘夹层209进行蚀刻,并用光致抗蚀剂层213作为掩模。在这种情况下,铜扩散阻挡层208为不完全蚀刻终止层,铜扩散阻挡层208也可以被蚀刻,如X所指示的。
然后,参考图15F,采用O2气体等离子体通过干灰化工艺对光致抗蚀剂层213进行灰化。在这种情况下,硅氧化物层221a作为氧化阻挡层,所以含有硅的铜层221几乎没有被氧化。
之后,进行如图10P、10Q、10R、10S、10T、10U和10V中所示的工艺。在这种情况下,图10P中所示工艺可以在图15F中所示工艺之前进行。
在图10A到10I、图15A到15F和图10P到10V所示的方法中,可以取消蚀刻终止层210。
即使在图10A到10I、图15A到15F和图10P到10V所示的方法中,因为在用于含有硅的铜层221和222的三个工艺都在图4的等离子体CVD装置中依次进行,半导体器件没有暴露在空气中,所以,在含有硅的铜层221和222以及铜扩散阻挡层208和218之间没有氧化物生长。
而且,因为硅扩散到整个含有硅的铜层221和222中,所以在含有硅的铜层221和222中铜原子的迁移可以被抑制。此外,因为含有硅的铜层221和222中硅的总量小于图1H的Cu硅化物层108中的硅的总量,所以可以抑制布线层,即,含有硅的铜层221和222,的电阻的增加。结果,如图11所示,与由纯Cu或纯Cu加Cu硅化物制成的层221和222相比,改善了电迁移和应力迁移阻抗时间。另外,抑制了含有硅的铜层221和222的氧化,由此增加了成品率,如图12所示。
在图8A和8B中示出的采用草酸溶液和苯并三唑(BTA)溶液的变型也可以用在图10A到10I、图15A到15F和图10P到10V所示的方法中。
在上述实施例中,含有硅的铜层可由包括A1、Ag、W、Mg、Fe、Ni、Zn、Pd、Cd、Au、Hg、Be、Pt、Zr、Ti和Sn中的至少一种的Cu合金制成。
此外,在上述实施例中,一些绝缘夹层由二氧化硅构成;但是,这种绝缘层可由具有比二氧化硅更低的介电常数的低k材料构成。在这种情况下,可在其上形成掩模绝缘层。而且,掩模绝缘层,例如,203b,可由在O2干灰化工艺及其后的湿除去工艺中具有高抵抗特性的SiC、SiCN或SiOC构成。
此外,在上述实施例中,由具有比二氧化硅更低的介电常数的低k材料构成的绝缘夹层优选由阶梯型含氢硅氧烷构成。阶梯型含氢硅氧烷也被称作L-OxTM(NEC公司的商标)。阶梯型含氢硅氧烷的结构如图16A所示,特性如图16B所示。
如图16A所示,在阶梯型含氢硅氧烷中,氢原子为两维并且部分位于外围。结果,如示出了阶梯型含氢硅氧烷的吸光率特性的图16C所示,在830nm-1处可以观察到尖峰光谱,在870nm-1处可以观察到较弱的光谱,这显示了氢原子的二维排列。
如示出了阶梯型含氢硅氧烷的密度和折射率特性的图16D所示,密度和折射率特性根据烘焙温度而变化。即,当烘焙温度小于200℃或大于400℃时,折射率大于1.40。另外,当烘焙温度在200℃和400℃之间时,折射率大约为1.38到1.40。另一方面,当烘焙温度小于200℃时,观察不到密度。当烘焙温度大于400℃时,密度远大于1.60g/cm3。另外,当烘焙温度为200℃和400℃时,密度大约为1.50到1.58g/cm3。注意,当烘焙温度小于200℃时,在3650cm-1处可以观察到Si-O结合的光谱。
注意,折射率直接影响介电常数。考虑到这一点,在上述实施例中所用的阶梯型含氢硅氧烷优选密度为1.50到1.58g/cm3并且折射率大约为1.38到1.40的。
下面通过比较其结构在图17中示出的常规笼型含氢硅倍半氧烷(silsesquioxane)(HSQ)(参见:A.Nakajima,“Coating Layers”,Semiconductor Technology Outlook,p.432,Fig.2,1998)并结合图18、19和20来说明阶梯型含氢硅氧烷特性。注意,氢原子部分地位于阶梯型含氢硅氧烷的外围,而氢原子大部分位于HSQ的外围。因此,与在阶梯型含氢硅氧烷中的氢原子相比,认为在HSQ中的氢原子有反应性,这可能影响其性能。
首先,在300nm厚的半导体晶片上涂覆阶梯型含氢硅氧烷或HSQ来制备样品,并在N2环境、大约400℃中退火30分钟。
然后,发明人在图4的等离子体CVD装置中对上述样品进行试验,在下面的条件下将Cu转换为含硅铜:
温度:200到450℃
SiH4气体:10到1000sccm
N2气体:0到5000sccm
处理压力:0到20Torr(0到2666.4Pa)。
如图18所示,当SiH4气体的照射时间增加时,HSQ的厚度显著的降低。另一方面,即使当SiH4气体的照射时间增加时,阶梯型含氢硅氧烷的厚度也没有减小。
如图19所示,当SiH4气体的照射时间增加时,HSQ的折射率显著地增加了。另一方面,即使当SiH4气体的照射时间增加时,阶梯型含氢硅氧烷的折射率也没有增加。
如图20所示,当SiH4气体的照射时间增加时,HSQ的相对介电常数显著地增加了。另一方面,即使当SiH4气体的照射时间增加时,阶梯型含氢硅氧烷的相对介电常数也没有增加。
多孔的阶梯型含氢硅氧烷具有与阶梯型含氢硅氧烷相同的特性。因此,可用多孔的阶梯型含氢硅氧烷代替阶梯型含氢硅氧烷。
此外,与HSQ相比,上述阶梯型含氢硅氧烷对化学制剂,例如,氟化铵或稀释的氟氢酸(HF),具有出色的耐受性。例如,当把图21的涂覆有阶梯型含氢硅氧烷或HSQ的半导体芯片浸入到氟化铵或稀释的氟氢酸溶液中一定时间时,所得到的阶梯型含氢硅氧烷和HSQ的腐蚀量如图21B所示。
在上述的实施例中,在例如由低k材料制成的203a的绝缘夹层上的例如203b的掩模绝缘层较薄,所以例如203a的绝缘夹层实际暴露在SiH4气体中。本发明人发现,与由二氧化硅制成的绝缘层相比,在线/间比为0.2μm/0.2μm的绝缘夹层由HSQ制成的两个相邻布线层的寄生电容降低了2到3%。另一方面,与由二氧化硅制成的绝缘层相比,在线/间比为0.2μm/0.2μm的绝缘夹层由阶梯型含氢硅氧烷制成的两个相邻布线层的寄生电容降低了8到12%。另外,与由二氧化硅制成的绝缘层相比,在线/间比为0.2μm/0.2μm的绝缘夹层由多孔阶梯型含氢硅氧烷制成的两个相邻布线层的寄生电容降低了15到20%。
此外,当绝缘夹层由甲基硅倍半氧烷或包括碳原子的有机聚合物制成时,在Cu(含有硅的铜)层及其上的铜扩散阻挡层之间生长Cu氧化物。这是由于含有碳原子的这种材料被图4的等离子体CVD装置加热,产生碳氢化合物气体,而不是氢气,所以Cu或含硅铜的表面几乎不减少。另一方面,当绝缘夹层由阶梯型含氢硅氧烷或多孔阶梯型含氢硅氧烷制成时,在Cu(含有硅的铜)层及其上的铜扩散阻挡层之间生长Cu氧化物。这是由于含有碳原子的这种材料被图4的等离子体CVD装置加热,产生了更多的氢气,所以所以Cu或含硅铜的表面显著地减少了。
此外,各阻挡金属层可由单层或多层Ta、TaN、Ti、TiN、TaSiN和TiSiN制成。
如上所述,根据本发明,因为在含硅金属层及其上的金属扩散阻挡层之间没有生长氧化物,所以布线层的电阻可以降低,成品率可以增加。

Claims (13)

1.一种半导体器件,包括:
绝缘底层(101);
形成在所述绝缘底层上的绝缘夹层(103),所述绝缘夹层具有沟槽;
由形成在所述沟槽中的Ta、TaN、Ti、TiN、TaSiN和TiSiN中的一种制成的阻挡金属层(106);
在所述阻挡金属层上的不含有铜硅化物并埋在所述沟槽中的含有硅的铜层(111),所述含有硅的铜层具有少于8atoms%的硅组分;以及
形成在所述含有硅的铜层和所述绝缘夹层上并由SiCN、SiC、SiOC和有机材料中的一种制成的铜扩散阻挡层(109)。
2.一种半导体器件,包括:
绝缘底层(101);
形成在所述绝缘底层上的第一绝缘夹层(103),所述第一绝缘夹层具有沟槽;
由形成在所述沟槽中的Ta、TaN、Ti、TiN、TaSiN和TiSiN中的一种制成的第一阻挡金属层(106);
在所述第一阻挡金属层上的不含有铜硅化物并埋在所述沟槽中的第一含有硅的铜层(111),所述第一含有硅的铜层具有少于8atoms%的硅组分;
由SiCN、SiC、SiOC和有机材料中的一种制成并形成在所述第一含有硅的铜层和所述第一绝缘夹层上的第一铜扩散阻挡层(109);
形成在所述第一铜扩散阻挡层上的第二绝缘夹层(110),所述第二绝缘夹层具有与所述沟槽对置的通孔;
由形成在所述沟槽中的Ta、TaN、Ti、TiN、TaSiN和TiSiN中的一种制成的第二阻挡金属层(133);
在所述第二阻挡金属层上的不含有铜硅化物并埋在所述沟槽中的第二含有硅的铜层(135),所述第二含有硅的铜层具有少于8atoms%的硅组分;
由SiCN、SiC、SiOC和有机材料中的一种制成并形成在所述第二含有硅的铜层和所述第二绝缘夹层上的第二铜扩散阻挡层(136);
形成在所述第二绝缘夹层上的第三绝缘夹层(137、138),所述第三绝缘夹层具有与所述通孔对置的沟槽;
由形成在所述沟槽中的Ta、TaN、Ti、TiN、TaSiN和TiSiN中的一种制成的第三阻挡金属层(141);
在所述第三阻挡金属层上的不含有铜硅化物并埋在所述沟槽中的第三含有硅的铜层(143),所述第三含有硅的铜层具有少于8atoms%的硅组分;以及
由SiCN、SiC、SiOC和有机材料中的一种制成并形成在所述第三含有硅的铜层和所述第三绝缘夹层上的第三铜扩散阻挡层(144)。
3.一种半导体器件,包括:
绝缘底层(201);
形成在所述绝缘底层上的第一绝缘夹层(203),所述第一绝缘夹层具有沟槽;
由形成在所述沟槽中的Ta、TaN、Ti、TiN、TaSiN和TiSiN中的一种制成的第一阻挡金属层(206);
在所述第一阻挡金属层上的不含有铜硅化物并埋在所述沟槽中的第一含有硅的铜层(221),所述第一含有硅的铜层具有少于8atoms%的硅组分;
由SiCN、SiC、SiOC和有机材料中的一种制成并形成在所述第一含有硅的铜层和所述第一绝缘夹层上的第一铜扩散阻挡层(208);
形成在所述第一铜扩散阻挡层上的第二绝缘夹层(209),所述第二绝缘夹层具有与所述沟槽对置的通孔;
形成在所述第二绝缘底层上的第三绝缘夹层(211a、211b),所述第三绝缘夹层具有与所述沟槽对置的通孔;
由形成在所述沟槽和所述通孔中的Ta、TaN、Ti、TiN、TaSiN和TiSiN中的一种制成的第二阻挡金属层(216);
在所述第二阻挡金属层上的不含有铜硅化物并埋在所述沟槽和所述通孔中的第二含有硅的铜层(222),所述第二含有硅的铜层具有少于8atoms%的硅组分;
由SiCN、SiC、SiOC和有机材料中的一种制成并形成在所述第二含有硅的铜层和所述第二绝缘夹层上的第二铜扩散阻挡层(218)。
4.一种制造半导体器件的方法,包括以下步骤:
在绝缘夹层(103)中形成沟槽;
在所述沟槽中形成阻挡金属层(106);
在所述阻挡金属层上的所述沟槽中埋置铜层(107);
还原所述铜层上的氧化物;
在所述氧化物还原后,将所述铜层暴露在含有硅的气体中,从而把所述铜层转换为包括非铜硅化物的含有硅的铜层;以及
在所述含有硅的铜层和所述绝缘夹层上形成铜扩散阻挡层(109),
所述氧化物还原步骤、所述含有硅的气体暴露步骤和所述铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
5.一种制造半导体器件的方法,包括以下步骤:
在绝缘夹层(103)中形成沟槽;
在所述沟槽中形成阻挡金属层(106);
在所述阻挡金属层上的所述沟槽中埋置铜层(107);
在所述铜层上涂覆氧化防止层;
去掉所述氧化防止层;
在去掉所述氧化防止层之后,将所述铜层暴露在含有硅的气体中,从而把所述铜层转换为包括非铜硅化物的含有硅的铜层;以及
在所述含有硅的铜层和所述绝缘夹层上形成铜扩散阻挡层(109),
所述氧化防止层去除步骤、所述含有硅的气体暴露步骤和所述铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
6.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(103)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(106);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(107);
还原所述第一铜层上的第一氧化物;
在所述第一氧化物还原后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(109);
在所述第一铜扩散阻挡层上形成第二绝缘夹层(110);
在所述第二绝缘夹层和所述第一铜扩散阻挡层中形成通孔,所述通孔与所述沟槽相对;
在所述通孔中形成第二阻挡金属层(133);
在所述第二阻挡金属层上的所述通孔中埋置第二铜层(134);
还原所述第二铜层上的第二氧化物;
在所述第二氧化物还原后,将所述第二铜层暴露在含有硅的气体中,从而把所述第二铜层转换为包括非铜硅化物的第二含有硅的铜层;
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(136);
在所述第二铜扩散阻挡层上形成第三绝缘夹层(137、138);
在所述第三绝缘夹层和所述第二铜扩散阻挡层中形成沟槽,所述沟槽与所述通孔相对;
在所述沟槽中形成第三阻挡金属层(141);
在所述第三阻挡金属层上的所述沟槽中埋置第三铜层(142);
还原所述第三铜层上的第三氧化物;
在所述第三氧化物还原后,将所述第三铜层暴露在含有硅的气体中,从而把所述第三铜层转换为包括非铜硅化物的第三含有硅的铜层;以及
在所述第三含有硅的铜层和所述第三绝缘夹层上形成第三铜扩散阻挡层(144),
所述第一氧化物还原步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化物还原步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第三氧化物还原步骤、所述第三含有硅的气体暴露步骤和所述第三铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
7.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(103)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(106);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(107);
在所述第一铜层上涂覆第一氧化防止层;
去掉所述第一氧化防止层;
在去掉所述第一氧化防止层后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(109);
在所述第一铜扩散阻挡层上形成第二绝缘夹层(110);
在所述第二绝缘夹层和所述第一铜扩散阻挡层中形成通孔,所述通孔与所述沟槽相对;
在所述通孔中形成第二阻挡金属层(133);
在所述第二阻挡金属层上的所述通孔中埋置第二铜层(134);
在所述第二铜层上涂覆第二氧化防止层;
所述第二氧化防止层;
在去掉所述第二氧化防止层后,将所述第二铜层暴露在含有硅的气体中,从而把所述第二铜层转换为包括非铜硅化物的第二含有硅的铜层;
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(136);
在所述第二铜扩散阻挡层上形成第三绝缘夹层(137、138);
在所述第三绝缘夹层和所述第二铜扩散阻挡层中形成沟槽,所述沟槽与所述通孔相对;
在所述沟槽中形成第三阻挡金属层(141);
在所述第三阻挡金属层上的所述沟槽中埋置第三铜层(142);
在所述第三铜层上涂覆第三氧化防止层;
去掉所述第三氧化防止层;
在去掉所述第三氧化防止层后,将所述第三铜层暴露在含有硅的气体中,从而把所述第三铜层转换为包括非铜硅化物的第三含有硅的铜层;以及
在所述第三含有硅的铜层和所述第三绝缘夹层上形成第三铜扩散阻挡层(144),
所述第一氧化防止层去除步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化防止层去除步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第三氧化防止层去除步骤、所述第三含有硅的气体暴露步骤和所述第三铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
8.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(203)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(206);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(207);
还原所述第一铜层上的第一氧化物;
在所述第一氧化物还原后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
在所述第一铜扩散阻挡层上形成第二和第三绝缘夹层(209、211a、211b);
在所述第三和第二绝缘夹层中形成通孔,所述通孔与所述沟槽相对;
在所述第三绝缘夹层中形成沟槽,所述沟槽与所述通孔相对;
在形成所述沟槽后回蚀所述第一铜扩散阻挡层;
在所述第一含有硅的铜层上的所述沟槽和所述通孔中形成第二阻挡金属层(216);
在所述第二阻挡金属层上的所述沟槽和所述通孔中埋置第二铜层(217);
还原所述第二铜层上的第二氧化物;
在所述第二氧化物还原后,将所述第二铜层暴露在含有硅的气体中,从而把所述第二铜层转换为包括非铜硅化物的第二含有硅的铜层;以及
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(218);
所述第一氧化物还原步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化物还原步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
9.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(203)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(206);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(207);
在所述第一铜层上涂覆第一氧化防止层;
去掉所述第一氧化防止层;
在去掉所述第一氧化防止层后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
在所述第一铜扩散阻挡层上形成第二和第三绝缘夹层(209、211a、211b);
在所述第三和第二绝缘夹层中形成通孔,所述通孔与所述沟槽相对置;
在所述第三和第二绝缘夹层中形成沟槽,所述沟槽与所述通孔相对置;
在形成所述沟槽后回蚀所述第一铜扩散阻挡层;
在所述第一含有硅的铜层上的所述沟槽和所述通孔中形成第二阻挡金属层(216);
在所述第二阻挡金属层上的所述沟槽和所述通孔中埋置第二铜层(217);
在所述第二铜层上涂覆第二氧化防止层;
所述第二氧化防止层;
在去掉所述第二氧化防止层后,将所述第二铜层暴露在含有硅的气体中,从而所述第二铜层转换为包括非铜硅化物的第二含有硅的铜层;
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(218),
所述第一氧化防止层去除步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化防止层去除步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
10.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(203)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(206);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(207);
还原所述第一铜层上的第一氧化物;
在所述第一氧化物还原后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
在所述第一铜扩散阻挡层上形成第二和第三绝缘夹层(209)以及蚀刻终止层(210);
在所述蚀刻(终止层)中形成通孔,所述通孔与所述沟槽相对;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
形成所述通孔之后,在所述蚀刻终止层上形成第三绝缘夹层(211a、211b);
用所述蚀刻终止层作为掩模,在所述第三绝缘夹层中形成沟槽,在所述第二绝缘夹层中形成通孔,所述沟槽与所述通孔相对;
在所述沟槽形成之后,回蚀所述第一铜扩散阻挡层;
在所述第一含有硅的铜层上的所述沟槽和所述通孔中形成第二阻挡金属层(216);
在所述第二阻挡金属层上的所述沟槽和所述通孔中埋入第二铜层(217);
还原所述第二铜层上的第二氧化物;
在所述第二氧化物还原之后,将所述第二铜层暴露在含有硅的气体中,从而把所述第二铜层转换为包括非铜硅化物的所述第二含有硅的铜层;以及
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(109),
所述第一氧化物还原步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化物还原步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
11.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(203)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(206);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(207);
在所述第一铜层上涂覆第一氧化防止层;
去掉所述第一氧化防止层;
在去掉所述第一氧化防止层后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
在所述第一铜扩散阻挡层上形成第二绝缘夹层(209)以及蚀刻终止层(210);
在所述蚀刻终止层中形成通孔,所述通孔与所述沟槽相对;
形成所述通孔之后,在所述蚀刻终止层上形成第三绝缘夹层(211a、211b);
用所述蚀刻终止层作为掩模,在所述第三绝缘夹层中形成沟槽,在所述第二绝缘夹层中形成通孔,所述沟槽与所述通孔相对;
在所述沟槽形成之后,回蚀所述第一铜扩散阻挡层;
在所述第一含有硅的铜层上的所述沟槽和所述通孔中形成第二阻挡金属层(216);
在所述第二阻挡金属层上的所述沟槽和所述通孔中埋入第二铜层(217);
在所述第二铜层上涂覆第二氧化防止层;
去掉所述第二氧化防止层;
在加热所述第二氧化防止层后,将所述第二铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;以及
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(218),
所述第一氧化防止层去除步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化防止层去除步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
12.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(203)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(206);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(207);
还原所述第一铜层上的第一氧化物;
在所述第一氧化物还原后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
在所述第一铜扩散阻挡层上形成第二绝缘夹层(209)、蚀刻终止层(210)以及第三绝缘夹层(211a、211b);
在所述第三绝缘夹层中形成沟槽,所述沟槽与所述沟槽相对置;
在所述沟槽形成之后,回蚀所述蚀刻终止层;
在所述第二绝缘夹层中形成通孔,所述通孔与所述沟槽相对置;
在所述通孔形成之后,回蚀所述第一铜扩散阻挡层;
在所述第一含有硅的铜层上的所述沟槽和所述通孔中形成第二阻挡金属层(216);
在所述第二阻挡金属层上的所述沟槽和所述通孔中埋入第二铜层(217);
还原所述第二铜层上的第二氧化物;
在所述第二氧化物还原之后,将所述第二铜层暴露在含有硅的气体中,从而把所述第二铜层转换为包括非铜硅化物的所述第二含有硅的铜层;以及
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(109),
所述第一氧化物还原步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化物还原步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
13.一种制造半导体器件的方法,包括以下步骤:
在第一绝缘夹层(203)中形成沟槽;
在所述沟槽中形成第一阻挡金属层(206);
在所述第一阻挡金属层上的所述沟槽中埋置第一铜层(207);
在所述第一铜层上涂覆第一氧化防止层;
去掉所述第一氧化防止层;
在去掉所述第一氧化防止层后,将所述第一铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;
在所述第一含有硅的铜层和所述第一绝缘夹层上形成第一铜扩散阻挡层(208);
在所述第一铜扩散阻挡层上形成第二绝缘夹层(209)、蚀刻终止层(210)以及第三绝缘夹层(211a、211b);
在所述第三绝缘夹层中形成沟槽,所述沟槽与所述通孔相对;
在所述沟槽形成之后,回蚀所述蚀刻终止层;
在所述第二绝缘夹层中形成通孔,所述通孔与所述沟槽相对;
在所述通孔形成之后,回蚀所述第一铜扩散阻挡层;
在所述第一含有硅的铜层上的所述沟槽和所述通孔中形成第二阻挡金属层(216);
在所述第二阻挡金属层上的所述沟槽和所述通孔中埋入第二铜层(217);
在所述第二铜层上涂覆第二氧化防止层;
去掉所述第二氧化防止层;
在加热所述第二氧化防止层后,将所述第二铜层暴露在含有硅的气体中,从而把所述第一铜层转换为包括非铜硅化物的第一含有硅的铜层;以及
在所述第二含有硅的铜层和所述第二绝缘夹层上形成第二铜扩散阻挡层(218),
所述第一氧化防止层去除步骤、所述第一含有硅的气体暴露步骤和所述第一铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中,
所述第二氧化防止层去除步骤、所述第二含有硅的气体暴露步骤和所述第二铜扩散阻挡层形成步骤在相同的处理装置中进行,不把所述半导体器件暴露在空气中。
CN2009100034702A 2002-05-08 2002-11-15 具有含硅铜布线层的半导体器件及其制造方法 Expired - Fee Related CN101465336B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002132780 2002-05-08
JP132780/2002 2002-05-08
JP2002302841 2002-10-17
JP302841/2002 2002-10-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB021513066A Division CN100464417C (zh) 2002-05-08 2002-11-15 具有含硅铜布线层的半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN101465336A true CN101465336A (zh) 2009-06-24
CN101465336B CN101465336B (zh) 2011-12-07

Family

ID=29405320

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009100034702A Expired - Fee Related CN101465336B (zh) 2002-05-08 2002-11-15 具有含硅铜布线层的半导体器件及其制造方法
CNB021513066A Expired - Fee Related CN100464417C (zh) 2002-05-08 2002-11-15 具有含硅铜布线层的半导体器件及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB021513066A Expired - Fee Related CN100464417C (zh) 2002-05-08 2002-11-15 具有含硅铜布线层的半导体器件及其制造方法

Country Status (4)

Country Link
US (1) US20030209738A1 (zh)
KR (1) KR100542644B1 (zh)
CN (2) CN101465336B (zh)
TW (1) TW559999B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681470A (zh) * 2012-09-05 2014-03-26 瑞萨电子株式会社 半导体器件及其制造方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7396759B1 (en) 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
JP5180426B2 (ja) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5204370B2 (ja) * 2005-03-17 2013-06-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
FR2891084A1 (fr) * 2005-07-07 2007-03-23 St Microelectronics Sa REALISATION D'UNE BARRIERE CuSiN AUTO ALIGNEE
KR100771370B1 (ko) * 2005-12-29 2007-10-30 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
KR100818108B1 (ko) * 2007-02-06 2008-03-31 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
JP5175059B2 (ja) * 2007-03-07 2013-04-03 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7737029B2 (en) * 2008-03-18 2010-06-15 Samsung Electronics Co., Ltd. Methods of forming metal interconnect structures on semiconductor substrates using oxygen-removing plasmas and interconnect structures formed thereby
JP5501586B2 (ja) * 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
CN102468224A (zh) * 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 半导体互连结构的制作方法
JP5782279B2 (ja) 2011-01-20 2015-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
JP5898549B2 (ja) * 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR101950867B1 (ko) * 2012-08-27 2019-04-26 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN104465499A (zh) * 2014-11-26 2015-03-25 上海华力微电子有限公司 一种改善电迁移特性的方法
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
EP3643495B1 (en) * 2017-06-21 2023-11-01 AGC Inc. Article having water- and oil-repellent layer formed thereon, and method for manufacturing same
CN108054136A (zh) * 2017-11-16 2018-05-18 上海华力微电子有限公司 铜互连工艺方法
CN110571189B (zh) * 2018-06-05 2022-04-29 中芯国际集成电路制造(上海)有限公司 导电插塞及其形成方法、集成电路
US10734308B2 (en) * 2018-11-20 2020-08-04 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
CN113327888B (zh) * 2020-02-28 2022-11-22 长鑫存储技术有限公司 半导体结构的制造方法
CN114695224A (zh) * 2020-12-29 2022-07-01 联华电子股份有限公司 芯片键合对准结构与键合芯片结构及其制作方法
CN117524980B (zh) * 2024-01-04 2024-04-30 合肥晶合集成电路股份有限公司 顶层金属的制备方法及半导体结构

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980084723A (ko) * 1997-05-24 1998-12-05 김영환 반도체 소자의 다층 금속배선 및 그 형성방법
KR100274339B1 (ko) * 1997-06-30 2001-01-15 김영환 반도체소자의금속배선형성방법
JP3191759B2 (ja) * 1998-02-20 2001-07-23 日本電気株式会社 半導体装置の製造方法
JP2000114374A (ja) * 1998-10-08 2000-04-21 Toshiba Corp 半導体装置およびその製造方法
US6255217B1 (en) * 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681470A (zh) * 2012-09-05 2014-03-26 瑞萨电子株式会社 半导体器件及其制造方法
CN103681470B (zh) * 2012-09-05 2018-05-15 瑞萨电子株式会社 半导体器件及其制造方法

Also Published As

Publication number Publication date
TW559999B (en) 2003-11-01
KR100542644B1 (ko) 2006-01-11
CN101465336B (zh) 2011-12-07
KR20030087518A (ko) 2003-11-14
CN1457095A (zh) 2003-11-19
CN100464417C (zh) 2009-02-25
US20030209738A1 (en) 2003-11-13

Similar Documents

Publication Publication Date Title
CN101465336B (zh) 具有含硅铜布线层的半导体器件及其制造方法
US8642467B2 (en) Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US6255217B1 (en) Plasma treatment to enhance inorganic dielectric adhesion to copper
KR100531419B1 (ko) 반도체소자 및 그의 제조방법
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
CN1316566C (zh) 具有改良阻挡层接着力的互连结构
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
US7622380B1 (en) Method of improving adhesion between two dielectric films
US8372739B2 (en) Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US7727883B2 (en) Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US20040147104A1 (en) Method of barrier-less integration with copper alloy
KR20030074084A (ko) 반도체장치 및 이를 위한 제조방법
US20020167089A1 (en) Copper dual damascene interconnect technology
KR100519169B1 (ko) 반도체 소자의 금속배선 형성방법
US20050084619A1 (en) Method to deposit an impermeable film on porous low-k dielectric film
CN101364565A (zh) 半导体器件的制造方法
GB2336945A (en) Method for forming interconnection structure for a semiconductor device
US6699749B1 (en) Method for manufacturing a metal-insulator-metal capacitor
KR20030003331A (ko) 반도체 소자의 구리 배선 형성 방법
KR100821814B1 (ko) 구리 상감법에 의한 금속배선 형성방법
TW413899B (en) Manufacturing process of unlanded via
KR20040001993A (ko) 구리 금속 배선 형성방법 및 이를 이용한 반도체 소자의다층 배선 형성방법
KR20010003953A (ko) 반도체 장치의 비아 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP03 Change of name, title or address
CP03 Change of name, title or address

Address after: Tokyo, Japan

Patentee after: Renesas Electronics Corp.

Address before: Kanagawa, Japan

Patentee before: NEC ELECTRONICS Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180330

Address after: Kanagawa, Japan

Patentee after: NEC ELECTRONICS Corp.

Address before: Tokyo, Japan

Patentee before: NEC Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111207

Termination date: 20181115