CN101335183A - 可控制温度的负载室 - Google Patents

可控制温度的负载室 Download PDF

Info

Publication number
CN101335183A
CN101335183A CNA2008100002374A CN200810000237A CN101335183A CN 101335183 A CN101335183 A CN 101335183A CN A2008100002374 A CNA2008100002374 A CN A2008100002374A CN 200810000237 A CN200810000237 A CN 200810000237A CN 101335183 A CN101335183 A CN 101335183A
Authority
CN
China
Prior art keywords
temperature
load cell
controller
cell according
mass flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008100002374A
Other languages
English (en)
Other versions
CN101335183B (zh
Inventor
林俊贤
许志成
游明丰
左克伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101335183A publication Critical patent/CN101335183A/zh
Application granted granted Critical
Publication of CN101335183B publication Critical patent/CN101335183B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及一种可控制温度的负载室,其用于半导体工艺。该负载室包括至少一个调节式冷却器、一质量流量控制器、至少一个温度传感器与一控制器。调节式冷却器供应具预定温度的流体至控温板,质量流量控制器供应气流至负载室,也有助于维持理想温度。此外,调节式冷却器和/或质量流量控制器可结合至少一个温度传感器,以提供反馈控制以易于调控温度。控制器也可通过至少一个温度传感器的温度读值来控制调节式冷却器和质量流量控制器。

Description

可控制温度的负载室
技术领域
本发明涉及一种半导体装置的制造,且特别涉及一种可控制温度的负载室。
背景技术
当集成电路特征尺寸(feature size)变小,场效应晶体管(Field EffectTransistors,FET)的栅介电层(gate dielectric)的厚度也随之减少。特征尺寸的变小部分来自于整体装置尺寸比例的需求。以栅极导体宽度变窄为例,其它装置的尺寸也需随之减少以维持适当的装置比例及作用。而另一个促使栅介电层厚度减少的原因是,通过减少栅介电层厚度可增加晶体管漏极(drain)电流。晶体管漏极电流和位于晶体管通道区的电荷数成正比,此电荷数是由施加于栅极的电压所诱发。由栅介电层两侧的电压差所诱发的电荷数为影响栅介电层电容(capacitance)的因素之一。
现有氧化物所制造的栅介电层(如SiOx)厚度只有10
Figure A20081000023700051
以增加电容。然而超薄栅氧化层会增加栅极至通道的漏电流(leakage current)。鉴于此问题,因此采用介电常数比氧化硅(其k值约为3.9)高的材料。较高的k值(k≥20)可从各种不同的过渡金属氧化物所获得,如氮氧化物薄膜(oxynitride film)。k值高的介电层材料的电容大,因此可允许较厚的厚度。因此可避免超薄介电层所导致的问题,并同时提高晶体管的功能。
然而要形成具有高k值材料的栅介电层,在工艺上有其困难度。半导体工艺通常会使用至少一个集束型设备(cluster tools),其包含各种工艺室以用于晶片操作系统或装置,来执行各种半导体工艺。例如包含氧化、氮化、退火(Annealing)及沉积之类的工艺。
以形成包含氮氧化物薄膜的栅介电层为例,需要一集束型设备以执行氧化工艺、氮化工艺及退火工艺。而其中每一个工艺基本上是在不同的工艺室内所执行。晶片(wafer)通过负载室在不同工艺室间运输,典型的负载室具有一个无法调控且固定在特定温度的冷却板来冷却晶片。然而,氧化室无法使晶片维持在均衡温度。而晶片温度的变化会导致等效氧化层厚度(Equivalent OxideThickness,EOT)产生差异,进一步造成场效应晶体管之间饱和驱动电流(Idsat)的差异。此差异不仅在不同晶片上的不同晶体管之间可观察到,也会在单一晶片不同裸片(dies)上的不同晶体管以及在单一裸片上的不同晶体管之间发生。饱和驱动电流的差异会对电路系统造成不利的影响,并降低产量因而提高成本。
因此,需要一方法以及一装置使晶片在工艺中维持整片晶片的较均衡的温度。
发明内容
本发明所要解决的技术问题在于提供一种可控制温度的负载室,使晶片在工艺中能维持较均衡的温度,依照本发明的较佳实施例通常能解决或防止问题的发生,并达到技术上的优势。
为了实现上述目的,依照本发明一实施例,提出一种用于半导体工艺的负载室,包含具有一控温板(temperature-controlled plate)的一室及一冷却器。控温板具有由管路系统相连接的一第一入口(intake port)及一第一出口(outputport)。冷却器中具有和第一入口相连接的一第二出口以及和第一出口相连接的一第二入口。冷却器可以经由第二出口及第一入口供应冷却液至控温板以调节温度。
为了实现上述目的,依照本发明另一实施例,提出一种用于半导体工艺的负载室,包含一室,室内具有一控温板、一调节式冷却器以及一质量流量控制器。调节式冷却器和控温板相连接。质量流量控制器和室内的一入气口相连接,使气流能进入室。
为了实现上述目的,依照本发明又一实施例,提出一种用于半导体工艺的负载室,包含一室、一质量流量控制器、一冷却板、一调节式冷却器、至少一个温度传感器与一控制器。冷却板位于室内部并和冷却器相连接,使冷却器能将适当温度的液体流经冷却板。控制器和至少一个温度传感器、调节式冷却器以及质量流量控制器相连接。控制器接收至少一个温度传感器的读值,以调控质量流量控制器的气流或是调节式冷却器的流体温度。
以下将描述本发明实施例其它特征及优点,即本发明的申请保护范围。任何本领域的技术人员可易于应用所揭露的特定实施例,并以之为基础来改变或设计其它结构或工艺,以实现本发明的目的。任何本领域的技术人员应能了解,与所述实施例相同的概念及改变并未脱离本发明的精神和范围,如所提出的后附权利要求书。
为让本发明的上述和其它目的、特征、优点与实施例能更明显易懂,以下结合附图详细说明。
附图说明
图1是示出依照本发明一实施例的负载室图;
图2是示出依照本发明一实施例的负载室示意图;
图3是示出依照本发明一实施例的流程图,说明在一负载室中控制晶片温度的流程。
【主要器件符号说明】
100:集束型设备                    218:支架
112:第一工艺室                    220:控温板
114:第二工艺室                    222:冷却器
116:第三工艺室                    223:销
120:缓冲室                        230:质量流量控制器
121:负载室                        232:控制阀
122:前开式晶片盒对接系统          234:气源
124:制造接口                      240:温度传感器
200:负载室                        242:控制器
210:密闭室                        244:第一入口
212:顶部                          246:第一出口
214:底部                          248:第二出口
216:侧壁                          250:第二入口
                                   305~314:步骤
具体实施方式
除非另有指示,一般而言,不同图内相对应的数字及符号请参照相对应的部分。附图是为清楚说明较佳实施例相关部分的用途,不需依比例绘制。为了更清楚说明某些实施例,图标内的数字后面可接上字母以表示相同结构、材料及工艺步骤的变更。
本发明实施例的操作及工艺将详细叙述如下。然而,在此所述的实施例或例子并非本发明所思忖的惟一应用或用途。所述的特定实施例仅作为说明本发明特定的制造方法与使用方法,并不局限本发明或后附权利要求书的范围。
依照本发明实施例,提供金属氧化半导体场效应晶体管(Metal OxideSemiconductor Field Effect Transistor,MOSFET)的范例结构和方法如下。虽然所示范的实施例描述了一系列的步骤,然其并非用以限定本发明,仅为说明的用途。如果某些步骤次序与本说明不尽相同,其仍在本发明的范围内。此外,本发明并不一定需要执行所有的说明步骤。另外,本发明实施例的结构和方法可能需要结合其它未说明的半导体结构的装配或工艺来执行。
请参照图1,其示出依照本发明一实施例的一种集束型设备100。包含由一缓冲室(buffer chamber)120所互相连接的一第一工艺室112、一第二工艺室114和一第三工艺室116。依据一实施例,第一工艺室112为氧化室,第二工艺室114为氮化室,第三工艺室116为退火室,例如可为氧化环境为氧气较佳的快速热退火(Rapid Thermal Annealing,RTA)室。与缓冲室120互相连接的至少一个负载室121,上述两者能使至少一个晶片在第一工艺室112、第二工艺室114和第三工艺室116之间运输,而不会破坏不同工艺室中的真空度。
集束型设备100如果能包含一前开式晶片盒对接系统122(Front-OpeningUnified Pod docking system,FOUP docking system)和一制造接口124(factoryinterface)则较为理想。前开式晶片盒对接系统122和制造接口124使晶片在负载与卸载过程中,不会让负载室121、缓冲室120、第一工艺室112、第二工艺室114和第三工艺室116暴露在空气中。前开式晶片盒对接系统122的压力通常为1大气压(与晶片厂环境相同)。然而,负载室121的压力较低,通常是在真空环境(如小于约10Torr)。
在操作上,单独或一组晶片可通过前开式晶片盒对接系统122运输进出集束型设备100。晶片通过制造接口124,由前开式晶片盒对接系统122运输到负载室121。一旦被运输到负载室121,晶片即与周围环境隔离。若负载室121不是处于真空之下,则通常会通过抽气清除空气以降低其内部压力(通常是200至1000Pa),并以惰性气体(如氮气)清洗之。将晶片运输到第一工艺室112、第二工艺室114和第三工艺室116中之一。此三工艺室通过缓冲室120进行抽气使其内部压力下降至与负载室121的压力大致平衡。
工艺可由将晶片从负载室121运输到工艺室(即第一工艺室112、第二工艺室114和第三工艺室116)开始。传输的方式如利用传送带、机器手臂或其它已知的运输机构(图未显示)。每个工艺室可配备如加热组件、气流孔、射频线圈或其它工艺所需要的装置(图未显示)。
在本实施例的说明中,氧化层在第一工艺室112中沉积,当晶片形成氧化层后可经由缓冲室120将晶片从第一工艺室112运输至负载室121进行冷却后,再送至第二工艺室114。热氮化或等离子体氮化在第二工艺室114内执行。值得注意的是,通过集束型设备100,当晶片在工艺室之间运输时,真空度并不会被破坏,可消除晶片和空气或湿气反应的可能性,也可降低操作过程中晶片遭受破坏和被周围环境污染的机率。晶片氮化之后,可经由缓冲室120将晶片从第一工艺室114运输至负载室121进行冷却后,再送至第三工艺室116进行晶片退火,真空度也不会被破坏。
值得注意的是,图1所示的具有三个工艺室的集束型设备100仅为说明的目的,其它实施例可能包含较少或较多的工艺室。再者,其它实施例可能会使用上述实施例工艺室的部分、全部或不使用上述实施例工艺室。本发明的实施例可用于任何集束型设备,或其它当晶片从第一个位置运输至第二个位置时,以能控制其温度为佳的工艺装置。
参照图2,其示出依照本发明一实施例的负载室200的截面图,其可做为图1中的负载室121之用。任何本领域的技术人员应了解图2是说明本发明的一实施例,而本发明实际的实施例可能会有各种形状或形式。
大体上,负载室200包含具有顶部212、底部214和多个侧壁216的密闭室210。在晶片运输过程中,可由多个支架218托住至少一个晶片。一控温板220位于底部214之上并和一调节式冷却器222相连接,冷却器222提供冷水至控温板220。在一实施例中,控温板220具有由管路系统相连接的一第一入口244及一第一出口246。冷却器222中具有和第一入口244相连接的一第二出口248以及和第一出口246相连接的一第二入口250。冷却器222可以经由第二出口248及第一入口244供应冷却液至控温板220以调节温度。冷却器222可调节地提供可调节温度的冷却液(如水)至控温板220。冷却器222也可被调整成能提供可调节压力的冷却液。大体上,控温板220包含具有良好导热材料所制造的管路系统,在一实施例中为使用铜管。冷却器222为可调节地提供温度约17℃至约120℃的冷却液,但以约30℃至约90℃为佳。另外,冷却器222及控温板220为约1大气压至约10大气压的压力系统较佳。
控温板220包含高起的部分,如置放晶片的销(pins)223。可调整销223的高度而达到最大冷却效果,包含特定用途所需的冷却速率。例如可以降低销的高度以缩短将晶片冷却到特定温度所需要的时间。在一实施例中,销223的高度介于约1mm至约0.1mm之间。然而也可使用其它高度及形状,如控温板220上的销可为任意形状,可由山脊或螺旋状所组成。
参照图2,其还示出依照本发明一实施例的一质量流量控制器(mass flowcontroller)230和一控制阀232。质量流量控制器230和控制阀232一起作用以产生并维持负载室200的气压。尤其质量流量控制器230和一气源234相连接以控制气流进入负载室200。而控制阀232和和负载室200相连接,通过泵释放负载室200内的气体。在一实施例中,质量流量控制器230和控制阀232协同作用以维持负载室200的特定气压(约3Torr至约760Torr较佳)。
在一较佳实施例中,负载室200包含与一控制器242相连接的温度传感器240(如远红外线温度传感器),其也可和冷却器222、质量流量控制器230及/或控制阀232连接。此实施例中,控制器242从温度传感器240接收温度信息并自动控制冷却器222、质量流量控制器230及/或控制阀232以维持理想温度。理想温度除了其它因素的考虑,还可基于在前工艺、后续工艺、晶片大小及厚度之类的考虑。
值得注意的是,图2所示的单一温度传感器240仅为说明的目的,本发明若干实施例可使用多个且可能是不同形式的温度传感器。如多个温度传感器可位于负载室200内部的不同位置,以提供晶片不同区域的温度测量(包含横越晶片及晶片两侧的位置)。
请参照图3,其示出依照本发明一实施例的流程图,说明执行控制晶片温度的步骤。由步骤305开始,先设定所欲温度(步骤305),所欲温度可由使用者、数据库读值之类所设定。值得注意的是,所欲温度可基于工艺或正在执行的晶片工艺而设定。例如在第一工艺执行完毕后而使晶片温度快速减少/增加或慢速减少/增加,但第二工艺可能需要不同温度,此时即可立即设定第一所欲温度。以另一例而言,在执行特定工艺之前,也许需要维持晶片的特定温度。
接着进行到接收至少一个温度样本(步骤310)。通过负载室200内部不同位置的至少一个温度传感器接收温度样本。如温度传感器可间隔分开并横越地置于负载室200的顶部212表面,使温度传感器能检测包含晶片周围及内部等不同部位的温度。额外的温度传感器可沿着晶片底部的位置放置以测量其底部温度。
接着决定是否要调整温度(步骤312)。比较所欲温度(参见步骤305)和温度样本以决定是否要调整温度。如需调整温度,则接着进行温度调整(步骤314)。温度调整包含通过质量流量控制器230增加气流及压力、通过质量流量控制器230及控制阀232降低气流及压力、调整冷却器222所供应的冷却液温度和/或调整冷却器222所供应的冷却液流速等之类。
若不需要调整温度则回到步骤310,接收新的温度样本以继续进行工艺。
由上述本发明实施例可知负载室200具有一调节式冷却器及一质量流量控制器以分别供应流体及气体来调整温度,然而本发明的实施例可使用单一或多个上述特征。如本发明的实施例可利用一调节式冷却器。另一本发明的实施例可利用一调节式冷却器和一温度传感器。另一本发明的实施例可利用一质量流量控制器以维持特定温度。另一本发明的实施例可利用一质量流量控制器和一温度传感器。另一本发明的实施例可利用一调节式冷却器、一质量流量控制器和一温度传感器。
任何本领域的技术人员可知在此所揭露的可控制温度的负载室提供动态控制及反馈路径,以维持特定工艺的理想温度,由此以产生较一致的半导体器件,包含较一致的栅介电层。而增加一致性可以产生更精确且更一致的集成电路。
虽然本发明已将多个实施例及其优点详细揭露如上,然而其并非用以限定本发明。任何本领域的技术人员,在不脱离本发明的精神和范围内,当可作各种的改变、取代与替换。例如任何本领域的技术人员已知在本发明的范围内,在此所述的许多特征、功能、工艺及材料可以变更。此外,本发明的应用范围并未局限于上述说明书中的特定实施例的工艺、机器、制品、物质组成、工具、方法及步骤。任何本领域的技术人员将易于理解所揭露的本发明、工艺、机器、制品、物质组成、工具、方法或步骤,和已存在或日后所发展之物,是与本发明所述的相对应实施例大体上执行相同功能或达到相同结果。因此,后附的权利要求书旨在包含此类的工艺、机器、制品、物质组成、工具、方法或步骤。

Claims (20)

1、一种用于半导体工艺的负载室,其特征在于,该负载室至少包含:
一室,具有多个侧壁、一顶部及一底部;
位于该室内部的一控温板,该控温板具有一第一入口及一第一出口;以及
一冷却器,具有和该第一入口相连接的一第二出口,以及和该第一出口相连接的一第二入口,该冷却器可通过该第二出口及该第一入口,供应具有一定温度范围的冷却液至该控温板以调整该控温板的温度。
2、根据权利要求1所述的负载室,其特征在于,还包含一质量流量控制器以供应气体到该室内部。
3、根据权利要求2所述的负载室,其特征在于,还包含:
至少一个温度传感器于该室内部;以及
和该至少一个温度传感器及该质量流量控制器相连接的一控制器,该控制器由该至少一个温度传感器所接收的至少一个温度样本,来调节由该质量流量控制器所供应的气流速率。
4、根据权利要求1所述的负载室,其特征在于,该控温板包含多个销。
5、根据权利要求1所述的负载室,其特征在于,还包含:
至少一个温度传感器,位于该室内部;以及
和该至少一个温度传感器及该冷却器相连接的一控制器,该控制器由该至少一个温度传感器所接收的至少一个温度样本,来调节温度。
6、根据权利要求1所述的负载室,其特征在于,该冷却器供应约1大气压至约10大气压的冷却液。
7、根据权利要求1所述的负载室,其特征在于,该温度范围为约17℃至约120℃。
8、一种用于半导体工艺的负载室,其特征在于,该负载室至少包含:
一室,具有多个侧壁、一顶部及一底部;
位于该室内部的一控温板;
和该控温板相连的一调节式冷却器,该调节式冷却器供应冷却液至该控温板;
一入气口;以及
和该入气口相连接的一质量流量控制器,该质量流量控制器允许气流进入该室。
9、根据权利要求8所述的负载室,其特征在于,该气体包含氮气或氦气。
10、根据权利要求8所述的负载室,其特征在于,该调节式冷却器供应约1大气压至约10大气压的冷却液。
11、根据权利要求8所述的负载室,其特征在于,该调节式冷却器供应介于约17℃至约120℃间任一温度的冷却液。
12、根据权利要求8所述的负载室,其特征在于,还包含至少一个温度传感器安装于该室内部。
13、根据权利要求12所述的负载室,其特征在于,还包含和该至少一个温度传感器相连接的一控制器,该控制器由该至少一个温度传感器,来控制由该调节式冷却器所供应的冷却液的至少一个温度或压力。
14、根据权利要求12所述的负载室,其特征在于,还包含和至少一个温度传感器相连接的一控制器,该控制器由该至少一个温度传感器来控制由该质量流量控制器供应至该室的气流速率。
15、一种用于半导体工艺的负载室,其特征在于,该负载室至少包含:
具有一内部区域及一外部区域的一室,该室具有一入气口;
和该室相连接的一质量流量控制器,该质量流量控制器通过该入气口供应气体至该室的内部区域;
位于该室内部的一冷却板;
和该冷却板相连接的一调节式冷却器,该调节式冷却器输送可调节温度的液体通过该冷却板;
至少一个温度传感器于该室内部;以及
一控制器,和该至少一个温度传感器、该调节式冷却器及该质量流量控制器相连接,该控制器由该至少一个温度传感器接收至少一个温度样本,并依照该至少一个温度样本来调节该质量流量控制器或该调节式冷却器。
16、根据权利要求15所述的负载室,其特征在于,该气体包含氮气或氦气。
17、根据权利要求15所述的负载室,其特征在于,该调节式冷却器供应约1大气压至约10大气压的冷却液。
18、根据权利要求15所述的负载室,其特征在于,该调节式冷却器供应介于约17℃至约120℃间任一温度的冷却液。
19、根据权利要求15所述的负载室,其特征在于,该控制器调节由该调节式冷却器供应至该冷却板的冷却液温度。
20、根据权利要求15所述的负载室,其特征在于,该控制器调节由该质量流量控制器所供应的气体的气流速率。
CN2008100002374A 2007-06-27 2008-01-24 可控制温度的负载室 Active CN101335183B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/769,589 US8905124B2 (en) 2007-06-27 2007-06-27 Temperature controlled loadlock chamber
US11/769,589 2007-06-27

Publications (2)

Publication Number Publication Date
CN101335183A true CN101335183A (zh) 2008-12-31
CN101335183B CN101335183B (zh) 2010-06-23

Family

ID=40158992

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100002374A Active CN101335183B (zh) 2007-06-27 2008-01-24 可控制温度的负载室

Country Status (2)

Country Link
US (2) US8905124B2 (zh)
CN (1) CN101335183B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106339014A (zh) * 2016-10-13 2017-01-18 深圳市建恒测控股份有限公司 一种新型恒温控制系统及方法
TWI806966B (zh) * 2018-03-09 2023-07-01 荷蘭商Asm 智慧財產控股公司 半導體處理設備以及用於監視及控制半導體處理設備之方法

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9773918B2 (en) * 2012-12-18 2017-09-26 Cbrite Inc. Metal oxide TFT with improved stability and mobility
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12013291B2 (en) * 2020-10-14 2024-06-18 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270861B1 (en) * 1994-07-21 2001-08-07 Ut, Battelle Llc Individually controlled environments for pulsed addition and crystallization
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US6920915B1 (en) * 1999-10-02 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for cooling a semiconductor substrate
US6374907B1 (en) * 1999-10-08 2002-04-23 3M Innovative Properties Company Hydrofluoroether as a heat-transfer fluid
US6479801B1 (en) * 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6645344B2 (en) * 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
US6802935B2 (en) * 2002-03-21 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor chamber process apparatus and method
US6986261B2 (en) * 2002-11-15 2006-01-17 Tokyo Electron Limited Method and system for controlling chiller and semiconductor processing system
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2005191494A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光装置、デバイスの製造方法
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106339014A (zh) * 2016-10-13 2017-01-18 深圳市建恒测控股份有限公司 一种新型恒温控制系统及方法
CN106339014B (zh) * 2016-10-13 2019-01-08 深圳市建恒测控股份有限公司 一种恒温系统的控制方法
TWI806966B (zh) * 2018-03-09 2023-07-01 荷蘭商Asm 智慧財產控股公司 半導體處理設備以及用於監視及控制半導體處理設備之方法

Also Published As

Publication number Publication date
US20150132100A1 (en) 2015-05-14
US8905124B2 (en) 2014-12-09
US9698030B2 (en) 2017-07-04
US20090000769A1 (en) 2009-01-01
CN101335183B (zh) 2010-06-23

Similar Documents

Publication Publication Date Title
CN101335183B (zh) 可控制温度的负载室
TWI632632B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
JP6883618B2 (ja) 半導体ウェハ計測方法および半導体ウェハ計測装置
KR101888366B1 (ko) 반도체 기판 프로세싱 시스템
US10636689B2 (en) Apparatus for spatial and temporal control of temperature on a substrate
EP1073096B1 (en) Semiconductor workpiece processing apparatus
US20050173403A1 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20120074126A1 (en) Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
JP2012069723A (ja) 基板処理装置およびガスノズルならびに基板の処理方法
TW202015152A (zh) 工件處理系統及其設備
KR20010051530A (ko) 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
CN102468158A (zh) 衬底处理设备和制造半导体器件的方法
US20210134627A1 (en) Methods and apparatus for processing a substrate
CN113604786A (zh) 半导体设备的加热器及半导体设备
US9960060B2 (en) Platen assembly
JP2020145298A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US10453713B2 (en) Method for controlling temperature of furnace in semiconductor fabrication process
CN111033695A (zh) 内壁和基板处理装置
TWI247399B (en) Package and temperature-control method of electronic device with active temperature control
US20080200039A1 (en) Nitridation process
US11871667B2 (en) Methods and apparatus for warpage correction
CN220767155U (zh) 一种半导体晶圆加工用腔室结构
Kuznetsov et al. Levitor 4000: An advanced RTP system based on conductive heat transfer
CN216528815U (zh) 静电卡盘及半导体处理设备
WO2023035639A1 (zh) 半导体结构制作方法及半导体结构处理设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant