CN101236902A - 去除氧化物的方法和使用它填充沟槽的方法 - Google Patents

去除氧化物的方法和使用它填充沟槽的方法 Download PDF

Info

Publication number
CN101236902A
CN101236902A CNA2008100049084A CN200810004908A CN101236902A CN 101236902 A CN101236902 A CN 101236902A CN A2008100049084 A CNA2008100049084 A CN A2008100049084A CN 200810004908 A CN200810004908 A CN 200810004908A CN 101236902 A CN101236902 A CN 101236902A
Authority
CN
China
Prior art keywords
coating
oxide skin
groove
layer
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008100049084A
Other languages
English (en)
Other versions
CN101236902B (zh
Inventor
李承宪
罗圭泰
金注完
金泽中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN101236902A publication Critical patent/CN101236902A/zh
Application granted granted Critical
Publication of CN101236902B publication Critical patent/CN101236902B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Element Separation (AREA)

Abstract

去除部分氧化物层的方法包括:通过使反应气与氧化物层反应形成第一副产物,该反应气包含氟和氮,使该反应气与第一副产物反应形成第二副产物,以及去除第二副产物。

Description

去除氧化物的方法和使用它填充沟槽的方法
技术领域
本发明实施方式涉及一种去除氧化物的方法和使用它填充沟槽(trench)的方法。更具体地,本发明实施方式涉及一种去除部分氧化物层的方法和使用它填充沟槽而不在其中形成空隙和/或接缝的方法。
背景技术
半导体器件可能需要高速和大存储容量,以便提供正常运行。因此,制造半导体器件的方法可能需要形成具有高密度、高可靠性和高响应速度的半导体器件。制造半导体器件的常规方法可包括在基底中形成器件隔离区,即,确定半导体器件有源区(有源区)及其边界尺寸的区域,以便电子部件,例如二极管、晶体管等可在基底的有源区中形成。
常规器件隔离方法可包括热场氧化方法,例如,硅的局部氧化(LOCOS)方法。在常规LOCOS方法中,氧化物和氮化物层可在基底上依次形成,然后图案化氮化物层以形成抗氧化掩模。该抗氧化掩模可用于选择性地氧化基底,以在该基底上形成场氧化物层,即器件隔离。然而,常规LOCOS方法可能会导致氧化物层渗透穿过抗氧化掩模的周围部分,由此导致在场氧化物层的周围部分处形成鸟嘴式形成物。这样,场氧化物层可重叠该基底的有源区,由此降低有源区的宽度和使其电性能退化。
常规器件隔离方法的另一实例可包括浅沟槽隔离(STI)结构。常规STI结构可包括在半导体基底中形成的沟槽内沉积氧化物层,然后湿法蚀刻或等离子体蚀刻以去除氧化物层部分。然而,半导体器件的增加的集成度可能需要降低有源区/场区的尺寸,由此需要提高沟槽的纵横比,即,宽度与深度的比率。氧化物层在具有高的纵横比的沟槽中的沉积可能是困难的和不均匀的,所以可能在沟槽中的氧化物层内形成空隙和/或接缝。
例如,如果使用高密度等离子体化学气相沉积(HDP-CVD)在沟槽中形成氧化物层,可以同时实施沉积和溅射。因此,可能溅射和再附着在沟槽的较上部分中沉积的氧化物层,由此导致不均匀沉积。换句话说,由于氧化物层在垂直方向上的沉积速度可能比氧化物层在水平方向上的沉积速度快,所以可能在沟槽中的氧化物层内形成空隙和/或接缝。已尝试使用氢气减少经HDP-CVD形成的氧化物层中的空隙和/或接缝的形成。然而,氢气的使用可能导致剧烈的点蚀现象,即有源区的局部点蚀,由此引发对应于有源区的单元器件的故障运行。该故障运行可能会降低半导体器件的可靠性和产品收率。
此外,使用湿法蚀刻或等离子体蚀刻从常规STI结构中的沟槽去除部分氧化物层的方法可能会导致过度蚀刻,由此损伤半导体器件。已尝试使用衬套或降低射频功率以减小过度损伤。然而,该方法可能会降低沟槽中氧化物层的填缝能力(gap-fill ability),由此增加沟槽中的氧化物层内的空隙和/或接缝。
发明内容
本发明实施方式因此涉及一种去除氧化物的方法和使用它填充沟槽的方法,其基本克服了现有技术的一个或多个缺点。
因此,本发明实施方式的一个特征是提供去除部分氧化物层,同时对邻近组件造成最小损伤的方法。
本发明实施方式的另一特征是提供填充沟槽的方法,在该沟槽中具有最小的空隙和/或接缝。
通过提供去除包含氧化物的氧化物层的部分的方法,可实现本发明上面和其它特征和优点中的至少一个,该方法包括:通过使反应气与氧化物层的氧化物反应形成第一副产物,该反应气具有氟和氮,使该反应气与第一副产物反应形成第二副产物,以及去除该第二副产物。
该反应气可包括氢氟酸(HF)蒸气和氨(NH3)气。形成第一副产物可包括形成氟化硅(SiF4),以及形成第二副产物可包括形成氟硅酸铵((NH4)2SiF6)。氨(NH3)气与氢氟酸(HF)蒸气的流体流量比可为约1∶0.8至约1∶1.2。所述氧化物层可包括无掺杂的硅酸盐玻璃(USG)层、O3-原硅酸四乙酯(O3-TEOS)层、热氧化物层、磷硅酸盐玻璃(PSG)层、硼磷硅酸盐(borophosphosilicate)玻璃(BPSG)层和/或高密度等离子体(HDP)氧化物层中的一层或多层。
通过提供填充沟槽的方法,还可实现本发明上面和其它特征和优点的至少一个,该方法包括:形成至少一个第一沟槽,以从基底的上表面沿向下的方向延伸,在基底上一致(conformably)形成第一氧化物层,使得第一沟槽部分地被第一氧化物层填充,通过使包含氟和氮的反应气与第一氧化物层反应去除部分第一氧化物层以形成部分蚀刻的第一氧化物层,该部分蚀刻的第一氧化物层限定至少一个第二沟槽,以及在该部分蚀刻的第一氧化物层上形成第二氧化物层,使得第二沟槽被第二氧化物层填充,其中去除部分第一氧化物层包括:经第一氧化物层和反应气之间的反应形成第一副产物,使第一副产物与反应气反应形成第二副产物,以及去除第二副产物。
该反应气可包括氢氟酸(HF)蒸气和氨(NH3)气。氨(NH3)气与氢氟酸(HF)蒸气的流体流量比可为约1∶0.8至约1∶1.2。形成第一副产物可包括形成氟化硅(SiF4),以及形成第二副产物可包括形成氟硅酸铵((NH4)2SiF6)。第一氧化物层和第二氧化物层可包括无掺杂的硅酸盐玻璃(USG)层、O3-原硅酸四乙酯(O3-TEOS)层、热氧化物层、磷硅酸盐玻璃(PSG)层、硼磷硅酸盐玻璃(BPSG)层和/或高密度等离子体(HDP)氧化物层中的一层或多层。
形成部分蚀刻的第一氧化物层以限定第二沟槽可在约30℃至约40℃的温度下实施。形成部分蚀刻的第一氧化物层以限定第二沟槽可在约50毫托至约100毫托的压力下实施。去除部分第一氧化物层以形成部分蚀刻的第一氧化物层可包括限定第二沟槽以具有约85°至约87°的侧壁斜度。形成第一氧化物层可包括设置第一沟槽的宽度和第一氧化物层的厚度以具有约1∶0.2至约1∶0.8的比率。形成第一沟槽可包括形成宽度和深度的比率为约1∶3至约1∶20的沟槽。形成第二氧化物层可包括形成厚度为约5500埃至约6500埃的第二氧化物层。该方法还可包括热处理第二氧化物层以使第二氧化物层流回到第二沟槽中。形成第一沟槽可包括在基底上形成掩模图案和使用该掩模图案蚀刻基底。该方法还可包括平面化(planarizing)第二氧化物层以暴露该掩模图案。形成掩模图案可包括在基底上堆叠垫片(pad)氧化物图案和垫片氮图案。
附图说明
通过参考附图详细描述其示例性实施方式,本发明的上面和其它特征和优点对于本领域普通技术人员将变得更加明晰,附图中:
图1-7说明了在根据本发明实施方式填充沟槽的方法中各个阶段的剖视图。
具体实施方式
将于2007年1月31日在韩国知识产权局提交的名称为“去除氧化物的方法和使用它填充沟槽的方法”的韩国专利申请10-2007-0009880的全部内容引入本文中作为参考。
在下文中将参考附图更全面地描述本发明的实施方式,在附图中说明了本发明的示例性实施方式。然而,本发明的各个方面可以不同的形式体现并且不应理解为限制于本文中所陈述的实施方式。相反,提供这些实施方式以使本公开充分和完整,以及向本领域技术人员完全传达本发明的范围。
去除部分含氧化物的氧化物层的方法的示例性实施方式可如下。
可以将反应气施加至在基底上形成的氧化物层,使得反应气可与氧化物层发生化学反应形成副产物。氧化物层可包括氧化物,例如氧化硅。氧化物层的实例可包括无掺杂的硅酸盐玻璃(USG)层、O3-原硅酸四乙酯(O3-TEOS)层、热氧化物层、磷硅酸盐玻璃(PSG)层、硼磷硅酸盐玻璃(BPSG)层、高密度等离子体(HDP)氧化物层等中的一层或多层。反应气可包括氟,例如氢氟酸(HF)蒸气,以及氮,例如氨(NH3)气。因此,例如,根据下面的反应方程式1,反应气可与氧化物层的氧化物相互作用形成副产物,所以可形成例如氟化硅(SiF4)和水(H2O)作为副产物。
SiO2+4HF→SiF4+2H2O    反应方程式1
一旦形成副产物,通过根据例如反应方程式2的副产物和反应气之间的反应可去除该副产物。例如,当根据反应方程式1形成的SiF4根据反应方程式2与反应气反应时,可形成氟硅酸铵((NH4)2SiF6)。
SiF4+2HF+2NH3→(NH4)2SiF6    反应方程式2
注意到,根据反应方程式1-2,反应气中的氨气可仅与副产物中的氟化硅(SiF4)反应形成铵盐,即,在氨气和氧化硅(SiO2)之间基本没有直接反应。所形成的铵盐可从氧化物层去除,由此从氧化物层去除氧化物,例如SiO2
根据本发明实施方式用反应气去除部分氧化物层可为有利的,因为该反应气可与氧化物层的氧化物发生化学相互作用,由此促进氧化物层的各向同性蚀刻。这样,当去除氧化物层不需要的部分时,可防止和/或基本上最小化由湿法蚀刻,例如过度蚀刻导致的损伤,或由等离子体蚀刻导致的损伤,例如由偏压导致的损伤。
下面参考图1-7更详细地描述填充沟槽的方法的示例性实施方式。
参照图1,可在基底100上形成掩模图案105,以及可使用该掩模图案105蚀刻基底100形成第一沟槽110。基底100可为任何合适的基底,例如包含硅的基底。
更具体地,可在基底100的上表面上形成垫片氧化物层(未示出)。当从基底100的上表面沿y轴测量时,垫片氧化物层可具有约50埃至约200埃的厚度,例如约100埃的厚度。当在其上形成垫片氮化物层时,垫片氧化物层可降低在基底100上产生的应力。垫片氧化物层可通过例如热氧化法或化学气相沉积(CVD)法形成。
可在基底100上,即在垫片氧化物层的上表面上形成垫片氮化物层(未示出)。垫片氮化物层可包括,例如氮化硅,以及可通过例如使用氨(NH3)气、硅烷(SiH4)气、二氯甲硅烷(SiH2Cl2)气等的等离子体增强的化学气相沉积(PECVD)法或低压化学气相沉积(LPCVD)法形成。
可在垫片氮化物层上形成光刻胶图案(未示出)。光刻胶图案可通过如下形成:在垫片氮化物层上涂布光刻胶组合物至基本均匀的厚度,烘焙光刻胶组合物形成光刻胶膜(未示出),以及曝光和显影该光刻胶膜以形成预定图案。光刻胶图案可用于去除部分垫片氮化物层和垫片氧化物层。因此,可图案化垫片氮化物层使其对应光刻胶图案,以形成垫片氮化物图案104,以及可图案化垫片氧化物层使其对应光刻胶图案,以形成垫片氧化物图案102。可通过例如灰化法和/或剥离法去除所述光刻胶图案。
如图1所示,可在基底100上依次堆叠垫片氧化物图案102和垫片氮化物图案104,形成掩模图案105。掩模图案105可用于限定形成浮栅(floatinggate)和形成隔离沟槽的区域。因此,可蚀刻通过掩模图案105暴露的部分的基底100,形成多个第一沟槽110。第一沟槽110可沿向下的方向从基底100的上表面,即基底100和垫片氧化物图案102之间的界面延伸至预定深度。
第一沟槽110可具有沿x轴约400埃至约500埃的宽度W,以及可具有沿y轴约1500埃至约8000埃的深度D。也就是说,第一沟槽110的纵横比,即第一沟槽110的宽度W和深度D之间的比率可为约3至约20。可在第一沟槽110的入口部分处,即第一沟槽110的最上部分处测量第一沟槽110的宽度W。第一沟槽110的宽度可为不均匀的,所以第一沟槽110的最上部可比其下部宽。可从第一沟槽110的上表面测量第一沟槽110的深度D。
形成从基底100的上表面延伸的第一沟槽110可促进在基底100中有源区和场区,即隔离层的形成。基底100可包括单元区域(cell region),即包括高密度第一沟槽110的区域,以及周围区域,即与单元区相比包括较低密度第一沟槽110的区域。在周围区域中第一沟槽110的宽度W可比在单元区中第一沟槽110的宽度W宽。
如图1进一步所示,可在第一沟槽110内部形成衬套层112,以防止和/或基本上最小化由第一沟槽110的形成导致的潜在的漏电流或其它对基底100造成的损伤。可通过例如热处理硅基底100或通过在基底100上沉积单独的含氮化物的层形成衬套层112,以覆盖第一沟槽110的内侧表面和内底表面。如果通过热处理形成衬套层112,该热处理可在约740℃至约760℃的温度下实施,以便形成具有约90埃至约100埃厚度的衬套层112。
参照图2,可在基底100上形成第一氧化物层114。更具体地,可沿掩模图案105的上表面以及第一沟槽110的内侧表面和内底表面一致形成第一氧化物层114。例如,第一氧化物层114可具有在垫片氮化物图案104的上表面上的水平部分和在衬套层112上的垂直部分。如图2进一步所示,第一氧化物层114可仅部分地填充第一沟槽110,所以可在第一氧化物层114的两个邻近的垂直部分,即在单沟槽110邻近和相对的侧表面上的第一氧化物层114的两部分之间的第一沟槽110中形成空间。该空间可延伸至第一氧化物层114的上表面,所以第一氧化物层114可不悬于该空间之上。
第一氧化物层114可包括,例如氧化硅。第一氧化物层114的实例可包括无掺杂的硅酸盐玻璃(USG)层、O3-原硅酸四乙酯(O3-TEOS)层、热氧化物层、磷硅酸盐玻璃(PSG)层、硼磷硅酸盐玻璃(BPSG)层和/或高密度等离子体(HDP)氧化物层中的一层或多层。例如,在第一氧化物层114中使用USG层可赋予氧化物层优良的阶梯覆盖和填充性能,由此基本上最小化第一沟槽110中的空隙和/或接缝,不管其是否具有高的纵横比。此外,与例如由硅烷(SiH4)等离子体形成的氧化物层相比,使用O3-TEOS作为源气体形成USG层可赋予氧化物层优良的平整度,例如厚度均匀性。
可在约550托至约700托的压力下,在约500℃至约600℃的温度下,通过例如CVD形成第一氧化物层114。如果使用CVD形成第一氧化物层114,可使用氧(O2)和硅烷气体(SiH4)作为源气体。第一氧化物层114在垂直方向上,即沿y轴的沉积速度可比第一氧化物层114在水平方向上,即沿x轴的沉积速度快。因此,与在沿x轴的第一沟槽110的侧表面上沉积相比,第一氧化物层114可在沿y轴的第一沟槽110的底部上沉积更高的厚度。也就是说,第一沟槽110的宽度W与沿第一沟槽110侧表面即沿x轴的第一氧化物层114的厚度的比率可为约5∶1至约1.25∶1。如果第一沟槽110的宽度W为约400埃至约500埃,则第一氧化物层114的厚度可为约150埃至约300埃。第一氧化物层114的厚度可以是指第一氧化物层114的两个垂直部分的组合厚度,即基本等于t1和t2总和的厚度。厚度t1和t2可彼此相同或不同。
参照图3,可使用反应气蚀刻部分第一氧化物层114,如前面所述的关于去除部分氧化物层以在第一氧化物层残留物114a中形成多个第二沟槽115的方法。也就是说,在蚀刻第一氧化物层114之后,第一氧化物层残留物114a可残留在掩模图案105的上表面上和第一沟槽110内部,以限定多个第二沟槽115。当与基底100的距离增加时,第二沟槽115沿x轴的宽度可能会增加。
在下文中,将更详细地解释形成第二沟槽115的方法。首先,可提供含氟和氮的反应气,使其与第一氧化物层114相互作用,所以根据例如如上所述的反应方程式1可形成副产物。如果反应气包含氢氟酸(HF)蒸气和氨(NH3)气,则NH3气与HF蒸气的流体流量比可为约1∶0.8至约1∶1.2。例如,可以分别约30sccm和约50sccm的流体流量提供HF蒸气和NH3气约80秒至约100秒。可与NH3气和/或HF蒸气一起以约80sccm至约120sccm的流体流量提供氩(Ar)气约80秒至约100秒作为载气。因此,HF蒸气可与第一氧化物层114反应形成副产物,以及NH3气可与副产物即氟化硅(SiF4)反应形成铵盐。关于反应气、副产物以及反应方程式1-2的细节可与前述的细节基本相似,因此将不再重复。可从第一氧化物层114去除铵盐形成第一氧化物层残留物114a,在该第一氧化物层残留物114a中具有多个第二沟槽115。可在约30℃至约40℃的温度下和在约50毫托至约100毫托的压力下形成第一氧化物层残留物114a,即第二沟槽115。去除的氧化物的量可根据反应方程式1-2的化学计量数据控制。
在其入口处,第二沟槽115可具有扩大的侧壁斜度。也就是说,第一沟槽110中第一氧化物层114的垂直部分可基本垂直于基底100,如图2所示。在用反应气蚀刻第一氧化物层114之后,第一氧化物层残留物114a的垂直部分,即限定第二沟槽115的侧表面的部分可与基底100成一定角度,如图3所示。更具体地,第一氧化物层残留物114a的垂直部分可相对于基底100具有倾斜角R,因为与第二沟槽115的底部相比,反应气可从第二沟槽115的入口部分去除较大部分的氧化物层114。相对于基底100的下表面,即背对第一沟槽110的表面,倾斜角R可为约85°至约87°。
避免了偏压的使用,用如上所述的反应气蚀刻第一氧化物层114可为有利的,由此使对基底的损伤最小化。此外,关于第一氧化物层114中的氧化硅(SiO2),用反应气蚀刻可为各向同性的,由此形成具有倾斜侧表面(即倾斜角R)的第二沟槽115,而不会对基底造成等离子体损伤。另外,用反应气蚀刻可使仅蚀刻第一氧化物层114变得容易,由此防止或基本上最小化蚀刻垫片氮化物图案104,这又可最小化垫片氮化物图案104的不均匀高度缺陷。
参照图4,可在第一氧化物层残留物114a上形成第二氧化物层116以填充第二沟槽115。可通过例如使用氧化硅的CVD形成第二氧化物层116。第二氧化物层116可由与第一氧化物层114基本相同的材料形成。可沿y轴形成第二氧化物层116以具有约5500埃至约6500埃的厚度。
在形成第二氧化物层116之后,可热处理第二氧化物层116,以使第二氧化物层116的材料流回到第二沟槽115中。例如,可在约700℃至约800℃的温度下,在水(H2O)蒸气的气氛中加热第二氧化物层116约30分钟,对其实施致密化作用。也就是说,加热第二沟槽115中的第二氧化物层116可使第二氧化物层116的材料致密并流回,以用氧化硅完全填充第二沟槽115中的任何空隙和/或接缝。例如,由于氧化硅的膨胀,如果水蒸气压力在第一氧化物层残留物114a或第二氧化物层116中引发接缝的形成,则第二氧化物层116可流回至膨胀的部分,使得第二氧化物层116的致密化的材料可在第二沟槽115中形成。这样,第二氧化物层116可填满第二沟槽115,而无空隙和/或接缝。
然后,可实施另外的致密化过程,以进一步通过提高热处理温度致密化第二氧化物层116的材料。例如,可进一步通过在约900℃至约1000℃的温度下于其上实施热处理约30分钟致密化第二氧化物层116。可在氮(N2)气氛围下实施第二氧化物层116的另外致密化过程。
参照图5,可实施第二氧化物层116和第一氧化物层残留物114a的平面化过程,以暴露掩模图案105的上表面和在第一沟槽110中形成第一和第二氧化物图案116a和114b。第二氧化物图案116a和第一氧化物图案114b可在第一沟槽110中形成预隔离层118。该平面化方法可包括,例如,化学机械抛光法。
参照图6,可使用,例如湿法蚀刻溶液去除掩模图案105,以暴露部分预隔离层118,以限定隔离层120。更具体地,去除掩模图案105可包括在经湿法蚀刻溶液,例如磷酸(H3PO4)去除垫片氧化物图案102之前去除垫片氮化物图案104。然后,可经湿法蚀刻过程,例如由包括氟化铵、氟化氢和去离子水的LAL溶液去除垫片氧化物图案102,以便选择性暴露基底100的有源区。掩模图案105的去除可限定第一和第二氧化物图案114b和116a的凸起部分。可部分蚀刻第一和第二图案114b和116a的上部,所以通过垫片氧化物图案102的厚度可降低其高度,以形成第一和第二氧化物图案残留物114c和116b。可热处理第二氧化物图案116b的上部,以具有低湿法蚀刻率,由此最小化其蚀刻。第一和第二氧化物图案残留物114c和116b可限定隔离层120。
该隔离层120可从第一沟槽110向上凸起,即相对于基底100的下表面测定,与基底100的上表面相比,该隔离层120可具有较高高度的上表面。可在器件隔离区中形成隔离层120,所以隔离层120的上表面可比待形成的浮栅高。
参照图7,可在隔离层120的邻近部分间的基底100上依次形成隧道氧化物层122和多晶硅层(未示出)。该隧道氧化物层122可包括,例如,硅氧化物层、氟掺杂的硅氧化物层、碳掺杂的硅氧化物层、低介电常数(低-k)材料层等中的一层或多层。该多晶硅层可通过例如LPCVD法形成,以填充隔离层120的邻近部分间的缝隙和涂布其上表面。
多晶硅层可通过例如节点隔离工艺(node isolation process)或化学机械抛光工艺处理,以暴露隔离层120的上表面和在它们之间形成浮栅图案124。然后,可去除第一和第二氧化物图案残留物114c和116b的上部,所以第一和第二氧化物图案残留物114c’和116b’可仅残留在第一沟槽110内部。换句话说,可去除凸出在基底100上表面之上的隔离层120的上部。可经蚀刻,例如干法蚀刻和/或湿法蚀刻去除隔离层120的上部。
该方法还可包括在基底100上形成多晶硅层(未示出)和介电层(未示出)。可图案化多晶硅层和介电层,从而在浮栅图案124上形成控制栅图案和介电层图案,以在基底100上形成非易失性存储设备(例如,闪存设备)的栅极结构。
根据本发明实施方式,可通过提供反应气至第一氧化物层114和通过去除其形成第二沟槽115的部分,形成由第一氧化物层残留物114a限定的第二沟槽115,其具有倾斜侧壁,例如与基底100成约85°至约87°的角。可将第二氧化物层稠密地填充在第二沟槽115中,所以可基本上最小化空隙和/或接缝的形成。此外,通过经各向同性蚀刻法去除部分第一氧化物层114,与例如湿法蚀刻和/或等离子体蚀刻相比,可基本上最小化过度蚀刻,由此减少对基底的损伤,例如由于使用偏压的干蚀刻导致的剧烈的点蚀现象。此外,因为可通过各向同性蚀刻去除部分第一氧化物层,所以可使第二沟槽的入口扩大以形成倾斜侧壁,由此使第二氧化物层在其中的沉积变得容易,而无空隙和/或接缝。此外,平面化第二氧化物层可促进稳定氧化物层的形成,而在该氧化物层中无空隙和/或接缝,由此改进半导体器件的可靠性和可操作性。
在附图中,为了清晰地说明,层和区域的尺寸可能有些夸大。还应了解,当称层或元件在另一层或基底的“上面”时,它可直接在其它层或基底的上面,或者也可存在插入层。此外,应了解,当称层在另一层的“下面”时,它可直接在下面,以及也可存在一层或多层插入层。此外,还应了解,当称层在两层“之间”时,它可为在这两层之间唯一层,或者也可存在一层或多层插入层。在全文中相同的附图标记指代相同的元件。
如本申请所用,表述“至少一个(层、种)”,“一个(层、种)或多个(层、种)”,及“和/或”是开放式表述,这类表述在运用上既是连接性的(conjunctive)又是分离性的(disjunctive)。例如,表述“A、B和C中的至少一个(层、种)”,“A、B或C的至少一个(层、种)”,“A、B和C中的一个(层、种)或多个(层、种)”,“A、B或C的一个(层、种)或多个(层、种)”,以及“A、B和/或C”均包括下列意义:单独的A;单独的B;单独的C;A和B两者共同;A和C两者共同;B和C两者共同;以及A、B和C三者共同。此外,这些表述是开放式的,除非由它们与术语“由...组成”的组合相反地清楚指出。例如,表述“A、B和C中的至少一个(层、种)”也可包括第n个成员,其中n大于3,而表述“选自A、B和C中的至少一个(层、种)”则不包括其它成员。
此外,如本申请中所用,单数形式“一”和“该”也用于包括复数形式,除非上下文另外清楚地指明。
除非另外限定,本申请中使用的所有术语与本领域普通技术人员通常所理解的具有相同意义。
本申请已公开了本发明的示例性实施方式,以及尽管使用了特定术语,但是仅以一般性和说明性的意义对它们进行使用和解释而不用于限制目的。因此,本领域普通技术人员应理解,可在不偏离如所附权利要求书所限定的的本发明的主旨和范围的情况下,在形式和细节上作出各种改变。

Claims (20)

1.一种去除含氧化物的氧化物层的部分的方法,该方法包括:
通过使反应气与氧化物层的氧化物反应形成第一副产物,该反应气包含氟和氮;
使反应气与第一副产物反应形成第二副产物;以及
去除第二副产物。
2.权利要求1的方法,其中反应气包括氢氟酸(HF)蒸气和氨(NH3)气。
3.权利要求2的方法,其中形成第一副产物包括形成氟化硅(SiF4),以及形成第二副产物包括形成氟硅酸铵((NH4)2SiF6)。
4.权利要求2的方法,其中氨(NH3)气与氢氟酸(HF)蒸气的流体流量比为约1∶0.8至约1∶1.2。
5.权利要求1的方法,其中氧化物层包括无掺杂的硅酸盐玻璃(USG)层、O3-原硅酸四乙酯(O3-TEOS)层、热氧化物层、磷硅酸盐玻璃(PSG)层、硼磷硅酸盐玻璃(BPSG)层和/或高密度等离子体(HDP)氧化物层中的一层或多层。
6.一种填充沟槽的方法,该方法包括:
形成至少一个第一沟槽,以从基底的上表面沿向下的方向延伸;
在基底上一致形成第一氧化物层,使得第一沟槽被第一氧化物层部分填充;
通过使包含氟和氮的反应气与第一氧化物层反应,去除部分第一氧化物层以形成部分蚀刻的第一氧化物层,该部分蚀刻的第一氧化物层限定至少一个第二沟槽;以及
在该部分蚀刻的第一氧化物层上形成第二氧化物层,使得第二沟槽被第二氧化物层填充,
其中去除部分第一氧化物层包括:经第一氧化物层和反应气之间的反应形成第一副产物,使第一副产物与反应气反应形成第二副产物,以及去除第二副产物。
7.权利要求6的方法,其中反应气包括氢氟酸(HF)蒸气和氨(NH3)气。
8.权利要求6的方法,其中氨(NH3)气与氢氟酸(HF)蒸气的流体流量比为约1∶0.8至约1∶1.2。
9.权利要求6的方法,其中形成第一副产物包括形成氟化硅(SiF4),以及形成第二副产物包括形成氟硅酸铵((NH4)2SiF6)。
10.权利要求6的方法,其中,在约30℃至约40℃的温度下,实施形成部分蚀刻的第一氧化物层以限定第二沟槽。
11.权利要求6的方法,其中,在约50毫托至约100毫托的压力下,实施形成部分蚀刻的第一氧化物层以限定第二沟槽。
12.权利要求6的方法,其中第一氧化物层和第二氧化物层包括无掺杂的硅酸盐玻璃(USG)层、O3-原硅酸四乙酯(O3-TEOS)层、热氧化物层、磷硅酸盐玻璃(PSG)层、硼磷硅酸盐玻璃(BPSG)层和/或高密度等离子体(HDP)氧化物层中的一层或多层。
13.权利要求6的方法,其中去除部分第一氧化物层以形成部分蚀刻的第一氧化物层包括限定第二沟槽以具有约85°至约87°的侧壁斜度。
14.权利要求6的方法,其中形成第一氧化物层包括设置第一沟槽的宽度和第一氧化物层的厚度以具有约1∶0.2至约1∶0.8的比率。
15.权利要求14的方法,其中形成第一沟槽包括形成宽度和深度的比率为约1∶3至约1∶20的沟槽。
16.权利要求6的方法,其中形成第二氧化物层包括形成厚度为约5500埃至约6500埃的第二氧化物层。
17.权利要求6的方法,还包括热处理第二氧化物层,使第二氧化物层流回到第二沟槽中。
18.权利要求6的方法,其中形成第一沟槽包括在基底上形成掩模图案和使用该掩模图案蚀刻基底。
19.权利要求18的方法,还包括平面化第二氧化物层以暴露掩模图案。
20.权利要求18的方法,其中形成掩模图案包括在基底上堆叠垫片氧化物图案和垫片氮化物图案。
CN2008100049084A 2007-01-31 2008-01-29 去除氧化物的方法和使用它填充沟槽的方法 Active CN101236902B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR9880/07 2007-01-31
KR1020070009880A KR100878015B1 (ko) 2007-01-31 2007-01-31 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법

Publications (2)

Publication Number Publication Date
CN101236902A true CN101236902A (zh) 2008-08-06
CN101236902B CN101236902B (zh) 2012-02-01

Family

ID=39668464

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100049084A Active CN101236902B (zh) 2007-01-31 2008-01-29 去除氧化物的方法和使用它填充沟槽的方法

Country Status (3)

Country Link
US (1) US7745305B2 (zh)
KR (1) KR100878015B1 (zh)
CN (1) CN101236902B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101894789A (zh) * 2009-05-18 2010-11-24 台湾积体电路制造股份有限公司 隔离结构的制造方法
CN109216383A (zh) * 2017-07-07 2019-01-15 联华电子股份有限公司 半导体存储装置的形成方法
CN115732396A (zh) * 2022-11-28 2023-03-03 北京北方华创微电子装备有限公司 形成沟槽隔离结构的方法和半导体处理腔室

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8012846B2 (en) * 2006-08-04 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures and methods of fabricating isolation structures
KR101506901B1 (ko) * 2008-10-15 2015-03-30 삼성전자주식회사 반도체 소자의 제조 방법
JP4968861B2 (ja) 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102446810B (zh) * 2011-11-02 2013-12-04 上海华力微电子有限公司 一种形成浅沟槽隔离的方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) * 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR20170135760A (ko) * 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
TWI733850B (zh) 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
CN110911344B (zh) * 2018-09-14 2023-09-05 长鑫存储技术有限公司 半导体衬底浅沟槽制作方法及半导体衬底浅沟槽结构
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5766971A (en) * 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US6333274B2 (en) 1998-03-31 2001-12-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device including a seamless shallow trench isolation step
KR100321730B1 (ko) * 1999-12-30 2002-01-26 박종섭 실리콘 기판의 식각후처리 방법
KR20050055074A (ko) 2003-10-07 2005-06-13 삼성전자주식회사 기상 불산 식각 과정을 이용한 얕은 트렌치 소자 분리형성 방법
KR100538811B1 (ko) 2003-12-29 2005-12-23 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20060011416A (ko) * 2004-07-30 2006-02-03 주식회사 하이닉스반도체 반도체소자의 트렌치 매립 방법
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
KR20070044081A (ko) * 2005-10-24 2007-04-27 삼성전자주식회사 반도체 기판의 처리 방법
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101894789A (zh) * 2009-05-18 2010-11-24 台湾积体电路制造股份有限公司 隔离结构的制造方法
CN109216383A (zh) * 2017-07-07 2019-01-15 联华电子股份有限公司 半导体存储装置的形成方法
CN115732396A (zh) * 2022-11-28 2023-03-03 北京北方华创微电子装备有限公司 形成沟槽隔离结构的方法和半导体处理腔室
CN115732396B (zh) * 2022-11-28 2024-03-12 北京北方华创微电子装备有限公司 形成沟槽隔离结构的方法和半导体处理腔室

Also Published As

Publication number Publication date
US20080182383A1 (en) 2008-07-31
KR100878015B1 (ko) 2009-01-13
KR20080071693A (ko) 2008-08-05
CN101236902B (zh) 2012-02-01
US7745305B2 (en) 2010-06-29

Similar Documents

Publication Publication Date Title
CN101236902B (zh) 去除氧化物的方法和使用它填充沟槽的方法
US10177222B2 (en) Semiconductor device and method of fabricating the same
CN100375264C (zh) 形成浅沟槽隔离结构的方法
KR20090067576A (ko) 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
CN100517637C (zh) 形成半导体装置的隔离结构的方法
CN105047660A (zh) 浅沟槽隔离结构
CN100373552C (zh) 非易失性存储装置中形成栅电极的方法
CN100576490C (zh) 浅沟槽隔离结构的形成方法
US20100055869A1 (en) Semiconductor device and method of manufacturing same
US20080277714A1 (en) Nonvolatile memory device and method for fabricating the same
CN102315161A (zh) 具有侧结的半导体器件的制造方法
US20080268612A1 (en) Method of forming isolation layer in semiconductor device
US7358190B2 (en) Methods of filling gaps by deposition on materials having different deposition rates
US20070238310A1 (en) Method for manufacturing a semiconductor device having a silicon oxynitride film
US8163627B2 (en) Method of forming isolation layer of semiconductor device
CN101989599B (zh) 具有浅沟槽隔离结构的半导体器件及其制造工艺
CN102376621A (zh) 浅槽隔离结构的制作方法
CN102201360A (zh) 沟槽隔离结构及其形成方法
KR20060011449A (ko) 반도체소자의 소자분리 방법
CN102299112A (zh) 制作沟槽和浅沟槽隔离结构的方法
KR20070093672A (ko) 패턴 형성 방법 및 이를 이용한 불휘발성 메모리 장치의플로팅 게이트 형성 방법
KR20070002510A (ko) 반도체 소자의 소자분리막 형성방법
KR100512007B1 (ko) 셀로우 트렌치 소자분리 방법
KR20090063848A (ko) 반도체 소자 분리 구조 및 이의 형성 방법
KR20090122680A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant