CN101189709A - 具有降低的蚀刻率微负载的钨硅化物蚀刻处理 - Google Patents

具有降低的蚀刻率微负载的钨硅化物蚀刻处理 Download PDF

Info

Publication number
CN101189709A
CN101189709A CNA2006800197530A CN200680019753A CN101189709A CN 101189709 A CN101189709 A CN 101189709A CN A2006800197530 A CNA2006800197530 A CN A2006800197530A CN 200680019753 A CN200680019753 A CN 200680019753A CN 101189709 A CN101189709 A CN 101189709A
Authority
CN
China
Prior art keywords
gas
substrate
processing chamber
plasma processing
loading
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800197530A
Other languages
English (en)
Other versions
CN101189709B (zh
Inventor
陈肃秋
刘身健
哈米特·辛格
李圣度
琳达.凤鸣.李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101189709A publication Critical patent/CN101189709A/zh
Application granted granted Critical
Publication of CN101189709B publication Critical patent/CN101189709B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

实施例提供改进的钨硅化物蚀刻处理,其具有降低的蚀刻率微负载效应。在一个实施例中,提供一种用于蚀刻在基片上形成的层的方法。方法包括将基片提供到等离子体处理室内,基片具有形成于其上的金属硅化物层和限定在金属硅化物层上的图案化掩模。方法还包括向等离子体处理室提供含氟气体、含氯气体、含氮气体和含氧气体的蚀刻气体混合物,其中含氮气体与含氟气体的比在大约5至大约15之间。另外,方法包括在等离子体处理室中使用提供的蚀刻气体混合物产生等离子体以在不被图案化掩模覆盖的区域内蚀刻金属硅化物层,图案化掩模限定密集区域和隔离区域,其中所产生的等离子体被配置为以降低的蚀刻率微负载在密集区域和隔离区域去除金属硅化物层。

Description

具有降低的蚀刻率微负载的钨硅化物蚀刻处理
背景技术
在基于半导体的器件(如集成电路或平板显示器)的制造中,多层材料被交替地沉积在基片表面上,并被从基片表面(如,半导体晶片或玻璃面板)蚀刻。如本领域公知的,材料层的沉积和材料层的蚀刻可通过多种技术完成,包括等离子体增强沉积和蚀刻。在等离子体增强沉积或蚀刻中,基片的实际沉积或蚀刻在等离子体处理室内发生。在该沉积或蚀刻处理期间,等离子体由适合的源气体形成以将材料层沉积在基片上或者蚀刻基片上未被蚀刻掩模保护的区域,留下所需的图案。
硅化物膜被用来提供低电阻互联路径,其对于制造密集的、高性能的器件是重要的。一种结构是MOS晶体管多晶硅-硅化物栅极(polycide gate)。其由掺杂多晶硅层顶部的难熔金属硅化物(如,WS2,TiSi2,MoSi2或TaSi2)组成。在示例处理中,这样的结构将互连电阻率降低至低于15-30欧姆/平方(ohms/square),这是由不含硅化物的掺杂多晶硅表现出的电阻。随着最小几何尺寸减少,互连电阻增加。对于使用最小几何尺寸大约半微米的技术,引入难熔金属硅化物处理显著降低栅互连电阻。在最小的几何尺寸特征中,因为其低薄膜电阻(sheet resistance)和热稳定性,钨硅化物是选择的材料。
现有的钨硅化物蚀刻处理具有严重的蚀刻率微负载(micro-loading)问题。在隔离特征区域中的钨硅化物以高于密集特征区域中的比率蚀刻。由于微负载的严重程度,需要更长的过蚀刻操作,而以增加栅氧化物穿孔的风险为代价,这将降低器件产量。
从前述看来,需要一种方法,其提供改进的带有降低的蚀刻率微负载效应的钨硅化物蚀刻处理。
发明内容
下面描述的实施例提供改进的具有降低的蚀刻率负载效应的钨硅化物蚀刻处理。应当理解,本发明可以许多方式实现,包括在不同的等离子体蚀刻系统中。下面描述本发明多个创新性的实施例。
在一个实施例中,提供一种用于蚀刻在基片上形成的层的方法。该方法包括将基片提供到等离子体处理室内,该基片具有形成于其上的金属硅化物层和限定在该金属硅化物层上的图案化掩模。该方法还包括向等离子体处理室提供含氟气体,含氯气体,含氮气体和含氧气体的蚀刻气体混合物,其中该含氮气体与该含氟气体的比在大约5至大约15之间。
另外,该方法包括在该等离子体处理室中使用提供的蚀刻气体混合物产生等离子体以在不被该图案化掩模覆盖的区域蚀刻该金属硅化物层,该图案化掩模限定密集区域和隔离区域,其中所产生的等离子体配置为以降低的蚀刻率微负载在该密集区域和该隔离区域去除该金属硅化物层。
在另一个实施例中,提供一种用于蚀刻在基片上形成的层的方法。该方法包括将基片提供到等离子体处理室,RF电源设置在该等离子体处理室上方并且偏置电源与基片支撑件连接,其中该图案化基片设在该基片支撑件上,该基片具有在其上形成的金属硅化物层和限定于该金属硅化物层上的图案化掩模。该方法进一步包括向该等离子体处理室提供NF3气体,Cl2气体,N2气体和O2气体的蚀刻气体混合物,其中N2气体与NF3气体的比在大约5至大约15之间。
另外,该方法包括在该等离子体处理室中使用提供的蚀刻气体混合物产生等离子体以在不被该图案化掩模覆盖的区域蚀刻该金属硅化物层,该图案化掩模限定密集区域和隔离区域,其中所产生的等离子体配置为以减少的蚀刻率微负载在该密集区域和该隔离区域去除金属硅化物层。
从下面结合附图的详细描述,本发明的其他方面和优点将变得显而易见,附图通过实例示出了本发明原理。
附图说明
通过下面结合附图的详细描述,本发明将容易理解,并且类似的参考数字表示类似的结构元件。
图1示出在基片上带有钨硅化物和光掩模的示例性的栅堆栈。
图2A示出在密集特征区域蚀刻的钨硅化物。
图2B示出在隔离特征区域蚀刻的钨硅化物。
图3A示出钨硅化物底部(foot)。
图3B示出特征之间的钨硅化物残留物。
图4A示出氟基蚀刻WSix表面。
图4B示出氟基不能蚀刻氮钝化的WSix表面。
图5A示出在密集特征区域蚀刻的栅堆栈。
图5B示出在隔离特征区域蚀刻的栅堆栈。
图6示出示例性的等离子体蚀刻系统以蚀刻钨硅化物。
具体实施方式
现在将描述改进的钨硅化物蚀刻处理的多个示例性实施例。对于本领域的技术人员,显然,本发明可不使用这里阐述的具体细节的一些或全部而实现。
图1示出基片100上的带有钨硅化物和光掩模的示例性栅堆栈。该栅堆栈可用于形成各种类型的器件。例如,某些存储器件,如DRAM,可使用这种起始结构。在该示例性的栅堆栈中,氧化物层110沉积在基片100上。在氧化物层110的顶部是多晶硅层120。钨硅化物层130沉积在多晶硅层120上方。图案化光刻胶层或硬掩模层140在钨硅化物层130上方形成。可用于硬掩模层的材料的例子包括二氧化硅,硅氮化物,无定形碳等。
某些钨硅化物蚀刻处理有严重的微负载效应。微负载效应描述了基片上具有密集特征的区域和具有隔离特征的区域中蚀刻率的差异。对于某些钨硅化物蚀刻处理,以在隔离区域显著高于密集区域的比率蚀刻钨硅化物(WSix,x~2)。
图2A和2B示出密集特征区域内(图2A)和隔离特征区域(图2B)内部分蚀刻的钨硅化物。微负载效应的严重程度可通过蚀刻率微负载进行对比,其计算方式为首先从隔离区域(Hi)内的剩余钨硅化物厚度减去密集区域(Hd)内的剩余钨硅化物厚度,然后将减法的结果除以密集区域内和隔离区域内钨硅化物厚度的平均值。等式(1)示出该公式。
蚀刻率微负载=(Hi-Hd)/((Hi+Hd)/2)×100%    (1)
对于传统的钨硅化物蚀刻处理,微负载可高达40%。
在隔离特征区域(图2B)中,WSix表面(Ai)更开放并且更多地暴露于蚀刻化学成分。相反,在密集特征区域(图2A),由于区域Ai接近隔离特征,特征之间的区域Ad对于蚀刻化学成分是相对不易到达的。所以,在密集区域内的蚀刻率比隔离区域内的蚀刻率相对低。在这两个区域的蚀刻率的差异导致微负载。正如上述的对于某些钨硅化物蚀刻处理,蚀刻率微负载可高达40%。由于微负载的严重程度,需要较长的过蚀刻操作以确保在密集区域内的特征之间的钨硅化物在纵贯基片(或晶片)的所有地方被清除。更长的过蚀刻可导致在隔离特征区域内栅氧化物穿孔。栅氧化物穿孔将导致产量损失。除了栅氧化物穿孔,如果过蚀刻不够充分,可在经过传统钨硅化物蚀刻处理的基片上看到钨硅化物底部或蚀刻残留物。图3(A)和(B)示出钨硅化物底部(图3A)和蚀刻残留物(图3B)的示意图。钨底部是用于描述蚀刻后钨硅化物形貌的术语,其中接近钨硅化物和多晶硅分界面的钨硅化物比其余的钨硅化物宽。特征之间的钨硅化物底部和蚀刻残留物可影响间隙填充并可降低器件产量。所以,非常需要具有最小的微负载效应以消除栅氧化物穿孔、钨硅化物底部和蚀刻残留物的钨硅化物蚀刻处理。
根据一个实施例,该处理利用含氟气体,如NF3,SF6,C2F6或CF4,含氯气体,如Cl2,或HCl,含氮气体,如N2,或N2O,含氧气体,如O2,和可选的惰性气体,如He,Ar,Ne,Kr,或Xe。来自含氟气体的氟基和来自含氯气体的氯基提供特别适合于蚀刻WSix的蚀刻物质。在蚀刻WSix中,氟基比氯基更有活性(active)。根据一个实施例,在蚀刻过程中需要氯基,因为它们可帮助形成蚀刻聚合物以保护被蚀刻特征侧壁免于被氟基蚀刻。含氧气体有助于含氟气体、含氯气体、和含氮气体的离解。含氮气体帮助在开放区域(或隔离特征区域)减缓WSix蚀刻。
在一个例子中,含氟气体与含氯气体的流率比在大约0.3至大约3之间,优选地在大约0.5至大约1.5之间。含氟气体与含氧气体的比在大约1至大约10之间,优选地在大约5和大约7之间。含氮气体与含氟气体的比在大约5至大约15之间,优选地在大约6至大约10之间,且最优选地在大约7至大约9之间。惰性气体流量在0sccm(标准立方厘米每分钟)至大约200sccm之间。总的气体混合物流量在大约150sccm至大约1000sccm之间,且优选地在大约300sccm至大约600sccm之间。基片温度在大约20℃至75℃之间,优选地在大约25℃至大约60℃之间。处理压力在大约3mTorr至大约15mTorr之间。功率在200瓦特至大约1000瓦特之间。基片偏置电压在大约100伏特至大约300伏特之间,且优选地在大约100伏特至大约200伏特之间。在一个实施例中,产生的等离子体密度在大约1E9至大约1E11每cm3
在一个具体实施例中,含氟气体为NF3,含氯气体为Cl2,含氧气体为O2,含氮气体为N2并且在气体混合物中没有惰性气体。
N2主要用于钝化WSix表面,以免于氟蚀刻化学成分。图4A示出被氟蚀刻的WSix表面。图4B示出特定的W表面位点(site)被氮钝化(或保护)而没有被氟蚀刻。通过增加N2流率,大部分的W表面位点被保护(或钝化)免于氟基。对于隔离的特征区域,如图2B所示的区域Ai,与密集特征之间的W表面位点(或者图2A中所示的区域Ad)相比,更多的W表面位点对于氮是可到达的,并且可被氮保护。所以,在气体混合物中增加的氮保护在隔离特征区域内的WSix表面免受腐蚀性的氟基侵袭并且减缓在隔离特征区域的蚀刻率。在隔离特征区域增加的氮保护补偿这个区域由于暴露于蚀刻化学成分而增加的蚀刻率。另一方面,在密集特征区域内特征之间的区域Ad(如图2A所示)更少地暴露于氮保护;所以,蚀刻率被降低至比隔离特征区域低的程度。然而,由于其较少暴露于蚀刻化学成分,该密集特征区域的蚀刻率也低于隔离特征区域。所以,气体混合物中增加的氮显著降低或完全去除蚀刻率微负载。在一个实施例中,改进的蚀刻方法将钨硅化物蚀刻率微负载降低至大约0%至大约20%之间。在另一个实施例中,改进的蚀刻方法将钨硅化物蚀刻率微负载降低至大约0%至大约20%之间。
图5A和5B并排示出从被蚀刻基片上获得的密集特征(图5A)和隔离特征(图5B)的横截面样本的扫描电子显微镜(SEM)照片。在密集特征区域(图5A)中的钨硅化物被蚀刻的厚度为大约134nm。在隔离特征区域(图5B)的钨硅化物被蚀刻厚度也是大约134nm。通过新的钨硅化物蚀刻处理,实现了几乎零蚀刻率微负载。
由于几乎零蚀刻率微负载处理能力,不需要延长的过蚀刻并且大大减少栅氧化物穿孔。另外,也大大减少钨硅化物底部和蚀刻残留物。
上述的钨硅化物蚀刻可在多种类型的蚀刻室中执行。图6为等离子体处理系统500的示意性视图,包括等离子体处理反应器601,其可用来利用上述处理蚀刻钨硅化物。等离子体处理反应器601是电感耦合等离子体蚀刻反应器并且包括等离子体处理室604。射频(RF)电源624和RF偏置电源628提供功率以产生并影响在等离子体室604内产生的等离子体644。在一个实施例中,电源624提供的RF功率大约为13.56MHz。RF电源624可由功率控制器(未示出)控制,并且由RF匹配网络(未示出)调谐。RF电源624提供功率至位于靠近等离子体室604的线圈622。提供RF透明窗654以将线圈622与等离子体室604分开,同时允许能量从线圈622传递到等离子体室604。
RF偏置电源628配置为向位于等离子体室604内的静电卡盘电极626提供RF信号,在电极626上方产生直流(DC)偏置,电极626适于容纳基片627,如待处理的半导体基片工件或玻璃面板。RF偏置电源628可由功率控制器(未示出)控制,并由RF匹配网络(未示出)调谐。等离子体处理反应器包括气体供应机构(未示出),其包括通过气体岐管(manifold)连接的一个或多个蚀刻剂气体源(未示出)以向等离子体室604内部提供蚀刻处理所需的合适的化学成分。排气装置632连接排气泵634以从等离子体室604内去除废气和粒子并且保持等离子体室604内的特定压力。
温度控制器680通过控制加热器电源684来控制在卡盘626内的加热器682的温度。一般地说,在等离子体室604中,通过在真空下将基片627暴露于离子化的气体化合物(等离子体)而实现基片蚀刻。当气体输送到等离子体室604中时,蚀刻处理开始。由线圈622传输的RF功率将反应性气体离子化,反应性气体包括含氟气体、含氯气体、含氧气体、含氮气体和可选的惰性气体。由电极626传输的功率感应基片627上的DC偏置,以控制基片627的离子轰击的方向和能量。在蚀刻处理过程中,等离子体与基片627的表面发生化学反应以去除没有被掩模覆盖的材料。
在本发明的一个优选实施例中,适合的等离子体处理工具是2300TM Versys蚀刻系统,由Lam Research Corporation,Fremont,Calif提供。图6所示的等离子体处理室的其他细节可在共同转让的美国专利No.6,939,811和美国专利No.6,776,851中找到。除了钨硅化物,上述的化学成分也可用于蚀刻其他金属硅化物,如TiSi2,MoSi2,或TaSi2。在一个实施例中,WSix层为非化学计量的并且可包含其他金属或合金。
尽管为了理解清楚地目的相当详细地描述了前述发明,但是显然,可在所附权利要求的范围内实现特定的改变和修改。因此,目前的实施例被认为说明性的而非限制性的,并且本发明也不限定于此处所给出的细节,而是在所附权利要求的范围和等同物内可以修改。

Claims (20)

1.一种用于蚀刻在基片上形成的层的方法,包括:
将基片提供到等离子体处理室内,所述基片具有形成于其上的金属硅化物层和限定在所述金属硅化物层之上的图案化掩模;
向所述等离子体处理室提供含氟气体、含氯气体、含氮气体和含氧气体的蚀刻气体混合物,其中,所述含氮气体与所述含氟气体的比在大约5至大约15之间;以及
在所述等离子体处理室中使用所提供的所述蚀刻气体混合物产生等离子体以在不被所述图案化掩模覆盖的区域内蚀刻所述金属硅化物层,所述图案化掩模限定密集区域和隔离区域,其中,所产生的等离子体被配置为以降低的蚀刻率微负载去除在所述密集区域和所述隔离区域内的所述金属硅化物层。
2.根据权利要求1所述的方法,其中,在大约5至大约15之间的所述含氮气体与所述含氟气体的比降低在所述图案化基片上的蚀刻率微负载效应。
3.根据权利要求1所述的方法,其中,所述含氟气体与含氯气体的比在大约0.3至大约3之间,所述含氟气体与含氧气体的比在大约1至大约10之间。
4.根据权利要求1所述的方法,其中,总的蚀刻气体混合物流量在大约150sccm至大约1000sccm之间,晶片温度在大约20℃至大约75℃之间,所述等离子体处理室压力在大约3毫托至大约15毫托之间。
5.根据权利要求1所述的方法,其中,在大约200瓦特至大约1000瓦特之间的RF功率被提供给所述等离子体处理室,并且将大约100伏特至大约300伏特之间的偏置电压提供到基片支撑件以产生和影响所述等离子体。
6.根据权利要求1所述的方法,其中,所述金属硅化物是钨硅化物。
7.根据权利要求1所述的方法,其中,所述气体混合物进一步包括惰性气体,其中,所述惰性气体从由He、Ar、Ne、Kr和Xe组成的组中选取。
8.根据权利要求1所述的方法,其中,所述含氟气体从由NF3、SF6、C2F6或CF4组成的组中选取。
9.根据权利要求1所述的方法,其中,所述含氯气体从由Cl2和HCl组成的组中选取。
10.根据权利要求1所述的方法,其中,所述含氮气体与所述含氟气体的比在大约7至大约9之间。
11.根据权利要求1所述的方法,其中,所述降低的蚀刻率微负载在大约0%至大约20%之间。
12.根据权利要求1所述的方法,其中,所述降低的蚀刻率微负载在大约0%至大约10%之间。
13.一种用于蚀刻在基片上形成的层的方法,包括:
将基片提供到等离子体处理室内,RF电源设置在所述等离子体处理室上方并且偏置电源连接至基片支撑件,其中所述图案化基片设置在所述基片支撑件上,所述基片具有形成于其上的金属硅化物层和限定于所述金属硅化物层上的图案化掩模;
向所述等离子体处理室提供NF3气体、Cl2气体、N2气体和O2气体的蚀刻气体混合物,其中,所述N2气体与所述NF3气体的比在大约5至大约15之间;以及
在所述等离子体处理室中使用所提供的所述蚀刻气体混合物产生等离子体以蚀刻在不被所述图案化掩模覆盖的区域内的所述金属硅化物层,所述图案化掩模限定密集区域和隔离区域,其中,所产生的等离子体被配置为以降低的蚀刻率微负载去除在所述密集区域和所述隔离区域内的所述金属硅化物层。
14.根据权利要求13所述的方法,其中,在大约5至大约15之间的所述N2气体与所述NF3气体的比降低蚀刻率微负载。
15.根据权利要求13所述的方法,其中,所述NF3气体与Cl2气体的比在大约0.3至大约3之间,所述NF3气体与O2气体的比在大约1至大约10之间。
16.根据权利要求1 3所述的方法,其中,总的蚀刻气体混合物流量在大约150sccm至大约600sccm之间,晶片温度在大约20℃至大约75℃之间,所述等离子体处理室压力在大约3毫托至大约15毫托之间。
17.根据权利要求13所述的方法,其中,设置在所述等离子体处理室上方的RF电源提供在大约200瓦特至大约1000瓦特之间的功率,所述功率被提供至所述等离子体处理室,并且连接至所述基片支撑件的偏置电源提供在大约100伏特至大约300伏特之间的偏置电压以产生和影响所述等离子体。
18.根据权利要求13所述的方法,其中,所述降低的蚀刻率微负载在大约0%至大约10%之间。
19.根据权利要求13所述的方法,其中,所述偏置电源是RF电源,其在所述基片支撑件上的图案化基片上产生偏置电压,并且所述偏置电压为DC偏置。
20.根据权利要求13所述的方法,其中,所述基片支撑件是静电卡盘,其由加热器电源控制。
CN2006800197530A 2005-06-01 2006-05-31 具有降低的蚀刻率微负载的钨硅化物蚀刻处理 Active CN101189709B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US68678705P 2005-06-01 2005-06-01
US60/686,787 2005-06-01
US11/440,163 US7413992B2 (en) 2005-06-01 2006-05-23 Tungsten silicide etch process with reduced etch rate micro-loading
US11/440,163 2006-05-23
PCT/US2006/021214 WO2006130744A1 (en) 2005-06-01 2006-05-31 Tungsten silicide etch process with reduced etch rate micro-loading

Publications (2)

Publication Number Publication Date
CN101189709A true CN101189709A (zh) 2008-05-28
CN101189709B CN101189709B (zh) 2010-04-14

Family

ID=36847748

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800197530A Active CN101189709B (zh) 2005-06-01 2006-05-31 具有降低的蚀刻率微负载的钨硅化物蚀刻处理

Country Status (4)

Country Link
US (1) US7413992B2 (zh)
KR (1) KR101224747B1 (zh)
CN (1) CN101189709B (zh)
WO (1) WO2006130744A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110249410A (zh) * 2017-02-01 2019-09-17 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
CN111593325A (zh) * 2020-07-01 2020-08-28 西安微电子技术研究所 一种低压化学气相淀积法淀积两层钨硅的方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
JP5095242B2 (ja) * 2007-03-08 2012-12-12 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8518282B2 (en) * 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8911559B2 (en) * 2008-09-22 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method to pre-heat and stabilize etching chamber condition and improve mean time between cleaning
TW201104903A (en) * 2009-07-27 2011-02-01 Solapoint Corp Method for manufacturing photodiode device
US9960050B2 (en) 2013-08-05 2018-05-01 Taiwan Semiconductor Manufacturing Company Limited Hard mask removal method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US626996A (en) * 1899-06-13 Power transmission apparatus
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5851926A (en) 1996-10-01 1998-12-22 Applied Materials, Inc Method for etching transistor gates using a hardmask
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
JP4013308B2 (ja) * 1998-01-21 2007-11-28 ヤマハ株式会社 配線形成方法
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6869885B1 (en) * 1999-12-17 2005-03-22 Koninklijke Philips Electronics N.V. Method for a tungsten silicide etch
US6776851B1 (en) * 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110249410A (zh) * 2017-02-01 2019-09-17 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
CN110249410B (zh) * 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
CN111593325A (zh) * 2020-07-01 2020-08-28 西安微电子技术研究所 一种低压化学气相淀积法淀积两层钨硅的方法

Also Published As

Publication number Publication date
CN101189709B (zh) 2010-04-14
US7413992B2 (en) 2008-08-19
KR20080019032A (ko) 2008-02-29
KR101224747B1 (ko) 2013-01-21
WO2006130744A1 (en) 2006-12-07
US20060273072A1 (en) 2006-12-07

Similar Documents

Publication Publication Date Title
CN101189709B (zh) 具有降低的蚀刻率微负载的钨硅化物蚀刻处理
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
KR101095416B1 (ko) 금속함유 게이트전극을 갖는 전계효과 트랜지스터의게이트 구조체를 제조하는 방법
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US9299580B2 (en) High aspect ratio plasma etch for 3D NAND semiconductor applications
KR101226411B1 (ko) 초저실리콘 손실 고농도 주입 박리
TWI479562B (zh) 高溫蝕刻高k值材料閘極結構之方法
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US20120285481A1 (en) Methods of removing a material layer from a substrate using water vapor treatment
JP2020523785A (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
KR100645908B1 (ko) 알루미늄 함유층 엣칭 방법
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
TW201911406A (zh) 氧化物-金屬-氧化物-金屬堆疊之高深寬比蝕刻
US20040206724A1 (en) Method of etching metals with high selectivity to hafnium-based dielectric materials
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
KR20030022272A (ko) 반도체 구조에서 텅스텐 또는 텅스텐 질화물 전극게이트를 에칭하는 방법
CN111819669B (zh) 形成气隙的系统及方法
JP2727966B2 (ja) 半導体装置の製造方法
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
JPH0794469A (ja) ドライエッチング方法
TW201903834A (zh) 自對準觸點與閘極處理流程
JP2005086080A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant