CN101165917A - 具有连续接触蚀刻停止层的金属氧化物半导体元件 - Google Patents

具有连续接触蚀刻停止层的金属氧化物半导体元件 Download PDF

Info

Publication number
CN101165917A
CN101165917A CNA2007101021700A CN200710102170A CN101165917A CN 101165917 A CN101165917 A CN 101165917A CN A2007101021700 A CNA2007101021700 A CN A2007101021700A CN 200710102170 A CN200710102170 A CN 200710102170A CN 101165917 A CN101165917 A CN 101165917A
Authority
CN
China
Prior art keywords
source
stack
protective layer
semiconductor structure
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101021700A
Other languages
English (en)
Other versions
CN101165917B (zh
Inventor
姚亮吉
王祥保
林焕哲
徐鹏富
金鹰
陶宏远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101165917A publication Critical patent/CN101165917A/zh
Application granted granted Critical
Publication of CN101165917B publication Critical patent/CN101165917B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种半导体结构,包括一基板,一栅极堆栈于该基板上,一源极/漏极区域邻近该栅极堆栈,一源极/漏极硅化物区域于该源极/漏极区域上,一保护层于该源极/漏极硅化物区域上,其中位于该栅极堆栈上的一区域大致上无该保护层,以及一接触蚀刻停止层具一应力于该保护层上,且延伸于该栅极堆栈上。

Description

具有连续接触蚀刻停止层的金属氧化物半导体元件
技术领域
本发明涉及金属-氧化物-半导体(MOS)元件的结构及制造方法,尤其与MOS元件的硅化物区域的形成有关。
背景技术
超大规模集成电路(VLSI)系统所需的深次微米(deep-submicron)微缩技术已主导微电子工业的设计观。随着栅极电极长度的微缩化,源极与漏极接面亦需随之缩小,以抑制所谓的短通道效应(short channel effect,SCE)。短信道效应会使缩小化的元件性能劣化。互补式金属-氧化物-半导体(CMOS)元件微缩时所遭遇到的主要问题为增加不想要的寄生电容。随着源极/漏极接面深度与多晶硅线宽微缩至深次微米的范围,接触电阻变得更明显且必须将其降低。
降低多晶硅栅极及漏极/源极区域与内联机之间的电阻的主要方法为形成金属硅化物于漏极/源极区域与栅极电极的顶部上。于最常用的金属硅化物材料中,硅化镍与硅化钴通常用于自对准硅化物(self-aligned silicide,简称salicide)加工工艺。于salicide制程中,一金属薄层毯覆性地形成于一具有裸露源极/漏极与门极区域的半导体晶片上。接着,将此晶片置于一或多重步骤的退火加工工艺中,导致金属薄层选择性地与源极/漏极与门极区域处裸露的硅发生反应,因而形成金属硅化物。上述步骤之所以被称为自对准硅化物(salicide)加工工艺是由于硅化物层仅仅形成于金属材料与源极/漏极区域的硅及多晶硅栅极电极直接接触的位置。
于传统的硅化物加工工艺中,若栅极电极系由多晶硅构成,则硅化物形成于栅极电极上。各种用户化设计可针对符合不同需求而实施。例如,完全硅化的(fully silicided,简称FUSI)栅极可形成以消除多晶硅空乏效应,其可能导致多晶硅相对低的电荷供应能力。NMOS元件与PMOS元件亦可具有不同功函数的硅化物栅极。上述用户化设计必需将源极/漏极硅化物区域与栅极硅化物区域分别形成。
图1显示传统MOS元件制造步骤的剖面示意图。于形成源极/漏极区域14与源极/漏极硅化物区域12之后,接着毯覆性地形成一接触蚀刻停止层(CESL)10。为了进行多晶硅栅极4的硅化步骤,需实施一化学机械研磨(CPM)步骤移除多晶硅栅极4的结构,其包括位于多晶硅栅极4上的部分接触蚀刻停止层(CESL)10。接着,以传统的硅化加工工艺将多晶硅栅极4硅化。
图2系显示如图1所示结构的俯视图。应注意的是,由于实施CMP工艺的缘故,接触蚀刻停止层(CESL)10被分隔成两部分,其中一部分位于源极区域上,另一部分位于漏极区域上。因此,此结构明显地降低接触蚀刻停止层10施于完成后MOS元件的信道区域上的应力。进一步衍生的问题是,由于多晶硅栅极4上的接触蚀刻停止层10已被移除,于后续的在层间介电层(inter-layer dielectric)中形成接触开口步骤时,便无接触蚀刻停止层以终止蚀刻步骤。一部分的栅极硅化物区域,甚至多晶硅栅极4本身,可能因此受到蚀刻步骤的影响,进而导致接触电阻增加。
因此,业界亟需一种新的半导体元件的结构与方法,协同分别形成的栅极及源极/漏极硅化物区域的形成步骤,利用用户化栅极与源极/漏极硅化物区域的优点,同时又能避免公知技术所造成不良的影响。
发明内容
有鉴于此,本发明的一个目的在于提供一种半导体结构,包括一基板,一栅极堆栈于该基板上,一源极/漏极区域邻近该栅极堆栈,一源极/漏极硅化物区域于该一源极/漏极区域上,一保护层于该源极/漏极硅化物区域上,其中位于该栅极堆栈上的一区域大致上无该保护层,以及一接触蚀刻停止层(CESL)于该保护层上。
本发明之另一目的在于提供一种半导体结构,包括一基板,一栅极堆栈于该基板上,一栅极硅化物区域于该栅极堆栈的一顶部中,一源极区域邻近该栅极堆栈,一源极硅化物区域于该一源极区域上,一漏极区域邻近该栅极堆栈且位于该栅极堆栈的对侧,一漏极硅化物区域于该一漏极区域上,其中该源极及该漏极硅化物区域与该栅极硅化物区具有基本上不同的厚度或具有基本上不同的组成,一保护层于该源极/漏极硅化物区域上,其中该保护层包括一源极保护及一漏极保护,且该源极保护及该漏极保护彼此间不连接,以及一接触蚀刻停止层于该保护层上,且延伸于该栅极硅化物区域上。
本发明的另一目的在于提供一种半导体结构,包括一基板,一PMOS元件与一NMOS元件。该PMOS元件包括一第一栅极堆栈于该基板上,一第一栅极硅化物区域于该第一栅极堆栈的一顶部中,一第一源极/漏极区域邻近该第一栅极堆栈,一第一源极/漏极硅化物区域于该第一源极/漏极区域上,以及一保护层于该第一源极/漏极硅化物区域上,其中位于该第一栅极堆栈上的一区域实质上无该保护层。该NMOS元件包括一第二栅极堆栈于该基板上,一第二栅极硅化物区域于该第二栅极堆栈的一顶部中,其中该第一与该第二栅极硅化物区域具实质上不同的组成,一第二源极/漏极区域邻近该第二栅极堆栈,以及一第二源极/漏极硅化物区域于该第二源极/漏极区域上,其中该保护层于该第二源极/漏极硅化物区域上,且其中位于该第二栅极堆栈上的一区域实质上无该保护层。以及一接触蚀刻停止层(CESL)于该保护层及该第一与该第二栅极硅化物区域上。
本发明的又一样态在于提供一种半导体结构的制造方法,包括提供一基板,形成一栅极堆栈于该基板上,形成一源极/漏极区域邻近该栅极堆栈,形成一源极/漏极硅化物区域于该一源极/漏极区域上,形成一保护层于该源极/漏极硅化物区域上,基本上移除位于该栅极堆栈上的该保护层,以及形成一接触蚀刻停止层于该保护层上。
本发明的又一目的在于提供一种半导体结构的制造方法,包括提供一基板,形成一栅极堆栈于该基板上,形成一栅极硅化物区域于该栅极堆栈的一顶部中,形成一源极区域邻近该栅极堆栈,一源极硅化物区域于该一源极区域上,一漏极区域邻近该栅极堆栈且位于该栅极堆栈的对侧,一漏极硅化物区域于该一漏极区域上,其中该源极及该漏极硅化物区域与该栅极硅化物区具有基本上不同的厚度或具有基本上不同的组成,形成一保护层于该源极/漏极硅化物区域上,形成一可移除层于该保护层与该栅极堆栈上,将该可移除层薄化以露出该栅极堆栈上的该保护层,移除该栅极堆栈上的该保护层,将栅极堆栈上的一栅极电极与一金属反应以成为硅化物栅极,移除该可移除层,以及形成一接触蚀刻停止层于该保护层上,且延伸于该栅极硅化物区域上。
为使本发明之上述目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合附图,作详细说明如下:
附图说明
图1和图2分别显示传统的MOS元件的制造方法的中间阶段的剖面图与俯视图,其中于栅极堆栈上的部分实施停止层被移除;
图3至图11显示本发明之较佳实施例的MOS元件的制造方法的中间阶段的剖面示意图。
其中,附图标记说明如下:
图1和图2
2~栅极介电层;4~多晶硅栅极;10~接触蚀刻停止层;12~源极/漏极硅化物区域;14~源极/漏极区域。
图3至图11
20~基板;22~浅沟槽绝缘(STI)区;100~NMOS元件区;200~PMOS元件区;130、230~栅极介电层;124、224、226~源极/漏极区域;132、232~栅极电极;134、234~屏蔽层;128、228~硅化物区域;40~保护层;42~可移除层;45~虚线;146、246~栅极硅化物区域;244~屏蔽层;48、148、248~接触蚀刻停止层;50~层间介电层;154、254~接触栓。
具体实施方式
本发明实施例提供一种金属-氧化物-半导体(MOS)元件及其制造方法。根据本发明之较佳实施例,上述MOS元件的制造方法的中间阶段亦揭示于附图中。
请参阅图3,提供一基板20,可由一般的半导体材料或结构形成,例如硅块材、绝缘层上有硅(SOI)基板、硅锗(SiGe)基板、嵌入式硅锗(eSiGe)基板、锗基板及其它半导体基板。浅沟槽绝缘(STI)区22形成于基板20中,定义出一NMOS元件区100以及一PMOS元件区200。于NMOS元件区100中,一栅极堆栈包括一栅极介电层130、一栅极电极132以及一屏蔽层134,形成于基板20上。于PMOS元件区200中,一栅极堆栈包括一栅极介电层230、一栅极电极232以及一屏蔽层234,形成于基板20上。
栅极介电层130与230皆可采用一般常用的介电材料形成,例如氧化物、高介电常数(high-k)材料、氮化物、氮氧化物,及上述材料的组合、以及视设计需要而采用适合的材料。根据本发明的较佳实施例,栅极电极132与232可采以多晶硅层或多晶硅含薄金属层的形式形成,且多晶硅可分别掺杂n-型及p-型掺杂物。或者,栅极电极132与232可采以金属材料形成。屏蔽层134与234较佳者为介电材料氮化硅、氮氧化硅、碳化硅及其它介电材料。
源极/漏极区域124与224分别形成于NMOS元件区100与PMOS元件区200中。于PMOS元件区200中,另可形成SiGe应力子(SiGe stressor),其可施以一压应力于对应PMOS元件的信道区。硅化物区域128形成于源极/漏极区域124,以及硅化物区域228形成于源极/漏极区域224。于较佳实施例中,硅化物区域128与228包括金属(例如Ni、Ni-Yb、Ni-Pt及其它同性质的金属材料或合金)与硅或SiGe于低温下反应形成。然而,亦可使用其它金属形成硅化物,例如钴、铂、镁、钯及其它同性质的金属材料或合金。硅化物区域128与228可分别形成且分别包括不同材料。
请参阅图4,一保护层40毯覆性地覆盖该元件区100与200。保护层40较佳者为一薄层,使得后续形成的蚀刻停止层所施于信道区域的应变所造成的副作用降至最低。于一较佳的实施例中,保护层40的厚度较佳为约小于400埃(
Figure A20071010217000081
),更佳者为约小于200埃(
Figure A20071010217000082
)。保护层40可包括氧化物、氮化物、氮氧化物、上述材料的组合,及上述材料的多层结构。
图4还显示一可移除层42形成于保护层40上。于本发明较佳实施例中,可移除层42为一光阻层。于其它实施例中,可移除层42为一旋涂玻璃介质(spin-on glass,简称SOG)层。于另一实施例中,可移除层42包括以化学气相沉积法(CVD)形成的介电材料,例如以电浆辅助化学气相沉积法(PECVD)、选择区域化学气相沉积法(SACVD)、及其它形式的化学气相沉积法形成。较佳者为,可移除层42与保护层40具有不同的蚀刻选择特性。
在图5中,将可移除层42薄化以显露出保护层40的顶部区域。可移除层42的薄化步骤可通过化学机械研磨法(CMP)或蚀刻法实现。上述蚀刻法包括干式蚀刻法与湿式蚀刻法。于蚀刻或CMP步骤完成之后,部分的可移除层42仍遗留在保护层40上,以保护下层的保护层40。
图6显示露出的栅极电极132与232。接着,将露出的保护层40移除,较佳者为以湿蚀刻或干蚀刻法移除。接着,移除屏蔽层134与234,使下层的栅极电极132与232露出。
在另一实施例中,于可移除层42的沉积步骤之后,即施以化学机械研磨法(CMP)。该化学机械研磨法(CMP)于栅极电极132及/或232的表面露出后即停止。其结果为,于所获得的元件结构中,位于虚线45上且位于栅极电极132与232侧壁上的间隙壁部分与保护层40部分被移除。
图7A与图7B显示栅极硅化物区域146与246的形成步骤示意图,其中以完全硅化(FUSI)栅极为例说明。如同发明背景所知,为了形成硅化物区域,必须形成一金属层(未示出)于栅极电极132与232上(请参阅图6)。接着,施以一退火步骤使金属层与下层的Si/SiGe层间发生反应。接着,移除未反应的金属层,移除的方以选用会侵蚀未反应金属层而不会侵蚀硅化物的化学药剂。于其一实施例中,相同材质的金属层(未示出)形成于栅极电极132与232上,结果图示于图7A,其所获得的硅化物栅极146与246具有相同组成的硅化物。在另一实施例中,请参阅图7B,不同材质的金属层沉积于栅极电极132与232上,其所获得的硅化物栅极164与246具有不同的组成。在一比较实施例中,屏蔽层244形成以保护栅极电极132与232之一(例如栅极电极232),且仅栅极电极132硅化成硅化物栅极164。接着再将屏蔽层244移除。相同地,可形成另一屏蔽层(未示出)以保护栅极硅化物146,且栅极电极232硅化形成硅化物栅极246。所获得的结构与图7A所示的结构相似。于此实施例中,硅化物栅极164与246可包括不同的金属硅化物,较佳为具有不同功函数(work function)的硅化物栅极。
本发明实施例特别适用于形成MOS元件,其栅极硅化物区域与源极/漏极硅化物区域具有不同的组成,其中“不同的组成”定义为用以形成源极/漏极硅化物区域或栅极硅化物区域的金属,彼此之间包括至少一不同的金属元素。再者,“不同的组成”亦可表示该栅极硅化物区域与源极/漏极硅化物区域的金属元素含量的百分比实质上不同,即使上述金属层中的金属元素种类实质上相同。例如,若是于栅极硅化物区域与源极/漏极硅化物区域中的一金属元素具有一实质的含量百分比差异,例如其差异大于约百分之五,所形成的硅化物亦被视为具有不同的组成。本发明实施例亦适用于形成栅极硅化物区域与对应的源极/漏极硅化物区域,具有实质上不同的厚度。例如,一FUSI栅极硅化物区域的厚度可大于源极/漏极硅化物区域的厚度。
图8及图9显示根据本发明另一实施例的栅极硅化物区域的形成步骤示意图。图8所示的结构与图3所示的结构相似,不同之处在于栅极堆栈,例如位于元件区域200的栅极堆栈还包括一额外的多晶硅层236及一额外的屏蔽层238。有鉴于此,栅极电极232具有较小的厚度,例如其厚度可能只有栅极电极132厚度的一半。由于栅极电极132与232具有不同的厚度,所获得的硅化物栅极146与246将具有不同的组成。在一比较实施例中,于图4到图6所示的步骤实施之后,相继移除标号为134、238、236与234的层以形成如图9所示的结构。于后续形成的硅化物步骤中,由于栅极电极232的厚度小于栅极电极132的厚度,所获得的栅极硅化物区域具有不同的组成。例如,当形成镍硅化物时,硅化物栅极146可包括NiSi,而硅化物栅极246(请参阅图7)则可包括Ni2Si。通过调整栅极电极132与232(请参阅图3)的厚度,以及用以形成硅化物栅极146与246所采用的金属,因此可得到不同组成的硅化物栅极146与246。
于目前所讨论的实施例中,栅极硅化物区域是将栅极电极132与232硅化而形成。于其它实施例中,可先将栅极电极132与232蚀除,再将导电材料填入蚀除栅极电极132与232所形成的开口中。上述导电材料包括金属、金属硅化物、金属硅化物及其它导电材料。
图10显示根据本发明实施例形成接触蚀刻停止层(CESL)48的示意图,其包括通常使用的介电层,例如氮化硅、氮氧化硅、碳化硅、上述材料的组合、及上述材料的多层结构。除了作为停止蚀刻步骤的目的之外,CESL层48亦作为施予应力于相对MOS元件的信道区域。较佳者为,于NMOS元件区域100上的部分CESL层148具张应力(tensile stress),而位于PMOS元件区域200上的部分CESL层248具压应力(compressive stress)。应注意的是,为了有效地在对应的MOS元件的信道区域施予应力,较佳者为将CESL层48设置尽量靠近于信道区域。有鉴于此,保护层40的厚度宜尽量降低。
再者,如图11所示,一层间介电层(ILD)50沉积于CESL层48上。层间介电层50较佳者为低介电常数(low-k)介电层,例如,具有低的介电常数约低于3.5。接着,蚀刻层间介电层50以形成接触窗(未示出)于其中。通过CESL层48的帮助,可更精确的控制层间介电层50的蚀刻步骤。接着,移除在接触窗内的CESL层48与保护层40,露出下层的硅化物栅极与源极/漏极硅化物区域。接着,将一金属材料填入接触窗,较佳者为包括钨、钛、铝、铜及上述材料之组合,以形成接触栓(contact plug)154与254。
以上所述的仅为本发明的较佳可行实施例,所述实施例并非用以限制本发明的专利保护范围,因此凡是运用本发明的说明书及附图内容所作的等同结构变化,同理均应包含在本发明的专利保护范围。

Claims (16)

1.一种半导体结构,包括:
一基板;
一栅极堆栈于该基板上;
一源极/漏极区域邻近该栅极堆栈;
一源极/漏极硅化物区域于该一源极/漏极区域上;
一保护层于该源极/漏极硅化物区域上,其中位于该栅极堆栈上的一区域大致上无该保护层;以及
一接触蚀刻停止层于该保护层上。
2.如权利要求1所述的半导体结构,其特征在于,该保护层包括一部分直接形成于该栅极堆栈上。
3.如权利要求1所述的半导体结构,其特征在于,该保护层的厚度约小于400埃。
4.如权利要求1所述的半导体结构,其特征在于,该保护层包括一源极保护及一漏极保护,且该源极保护及该漏极保护彼此间不连接。
5.如权利要求1所述的半导体结构,其特征在于,该接触蚀刻停止层包括一源极部分及一漏极部分,且该源极部分及该漏极部分彼此间不连接。
6.如权利要求1所述的半导体结构,其特征在于,该栅极堆栈包括一栅极硅化物区域。
7.如权利要求6所述的半导体结构,其特征在于,该源极/漏极硅化物区域的厚度基本上与该栅极硅化物区域的厚度不同。
8.如权利要求6所述的半导体结构,其特征在于,该源极/漏极硅化物区域的组成基本上与该栅极硅化物区域的组成不同。
9.如权利要求1所述的半导体结构,其特征在于,该栅极堆栈包括一完全硅化物栅极。
10.如权利要求1所述的半导体结构,其特征在于,该栅极堆栈包括金属。
11.一种半导体结构的制造方法,包括:
提供一基板;
形成一栅极堆栈于该基板上;
形成一源极/漏极区域邻近该栅极堆栈;
形成一源极/漏极硅化物区域于该一源极/漏极区域上;
形成一保护层于该源极/漏极硅化物区域上,且大致上移除位于该栅极堆栈上的该保护层;以及
形成一接触蚀刻停止层于该保护层上。
12.如权利要求11所述的半导体结构的制造方法,其特征在于,该保护层步骤包括一部分直接形成于该栅极堆栈上。
13.如权利要求11所述的半导体结构的制造方法,其特征在于,形成该栅极堆栈步骤包括形成一栅极硅化物区域。
14.如权利要求13所述的半导体结构的制造方法,其特征在于,该源极/漏极硅化物区域的厚度基本上与该栅极硅化物区域的厚度不同。
15.如权利要求13所述的半导体结构的制造方法,其特征在于,该源极/漏极硅化物区域的组成基本上与该栅极硅化物区域的组成不同。
16.一种半导体结构的制造方法,包括:
提供一基板;
形成一栅极堆栈于该基板上;
形成一栅极硅化物区域于该栅极堆栈的一顶部中;
形成一源极区域邻近该栅极堆栈;
形成一源极硅化物区域于该一源极区域上;
形成一漏极区域邻近该栅极堆栈且位于该栅极堆栈的对侧;
形成一漏极硅化物区域于该一漏极区域上,其中该源极及该漏极硅化物区域与该栅极硅化物区具有实质上不同的厚度或具实质上不同的组成;
形成一保护层于该源极/漏极硅化物区域上,形成一可移除层于该保护层与该栅极堆栈上;
将该可移除层薄化以露出该栅极堆栈上的该保护层;
移除该栅极堆栈上的该保护层,将该栅极堆栈上的一栅极电极与一金属反应以成为硅化物栅极;
移除该可移除层;以及
形成一接触蚀刻停止层于该保护层上,且延伸于该栅极硅化物区域上。
CN2007101021700A 2006-10-18 2007-04-29 具有连续接触蚀刻停止层的金属氧化物半导体元件及其制造方法 Expired - Fee Related CN101165917B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/583,634 2006-10-18
US11/583,634 US7732878B2 (en) 2006-10-18 2006-10-18 MOS devices with continuous contact etch stop layer

Publications (2)

Publication Number Publication Date
CN101165917A true CN101165917A (zh) 2008-04-23
CN101165917B CN101165917B (zh) 2010-07-21

Family

ID=39317107

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101021700A Expired - Fee Related CN101165917B (zh) 2006-10-18 2007-04-29 具有连续接触蚀刻停止层的金属氧化物半导体元件及其制造方法

Country Status (3)

Country Link
US (1) US7732878B2 (zh)
CN (1) CN101165917B (zh)
TW (1) TWI340469B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044423A (zh) * 2009-10-09 2011-05-04 台湾积体电路制造股份有限公司 栅极结构的制造方法
CN101661902B (zh) * 2008-08-29 2011-12-14 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN102522327A (zh) * 2011-12-22 2012-06-27 上海华虹Nec电子有限公司 自对准低电阻栅极rf ldmos的制造方法
CN103138746A (zh) * 2011-11-21 2013-06-05 三星电机株式会社 Cmos 集成电路和放大电路
CN109300790A (zh) * 2017-07-24 2019-02-01 格芯公司 具有牺牲多晶硅层的接触蚀刻停止层

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100836763B1 (ko) * 2006-12-28 2008-06-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
JP2009038103A (ja) * 2007-07-31 2009-02-19 Fujitsu Microelectronics Ltd 半導体装置の製造方法と半導体装置
US20090053883A1 (en) * 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
DE102008059647B3 (de) * 2008-11-28 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Gateelektrodenstruktur mit Erhöhung der Integrität eines Gatestapels mit großem ε durch Schützen einer Beschichtung an der Gateunterseite während des Freilegens der Gateoberseite
CN102237294B (zh) * 2010-04-21 2013-11-27 中国科学院微电子研究所 一种源漏区、接触孔及其形成方法
US20120205727A1 (en) * 2011-02-11 2012-08-16 International Business Machines Corporation Semiconductor device including multiple metal semiconductor alloy region and a gate structure covered by a continuous encapsulating layer
US20130189822A1 (en) * 2012-01-24 2013-07-25 Globalfoundries Inc. Methods of fabricating integrated circuits with the elimination of voids in interlayer dielectics
US9159802B2 (en) * 2012-05-14 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with mask layers and methods for forming the same
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
FR3018139B1 (fr) 2014-02-28 2018-04-27 Stmicroelectronics (Rousset) Sas Circuit integre a composants, par exemple transistors nmos, a regions actives a contraintes en compression relachees
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060387A (en) * 1995-11-20 2000-05-09 Compaq Computer Corporation Transistor fabrication process in which a contact metallization is formed with different silicide thickness over gate interconnect material and transistor source/drain regions
US6376320B1 (en) * 2000-11-15 2002-04-23 Advanced Micro Devices, Inc. Method for forming field effect transistor with silicides of different thickness and of different materials for the source/drain and the gate
KR100429007B1 (ko) * 2002-07-25 2004-04-29 동부전자 주식회사 모스 트랜지스터의 제조 방법
CN1484297A (zh) * 2002-09-18 2004-03-24 上海宏力半导体制造有限公司 改善深次微米晶体管的电阻及漏电现象的半导体组件制造工艺
US6927117B2 (en) * 2003-12-02 2005-08-09 International Business Machines Corporation Method for integration of silicide contacts and silicide gate metals
US7012028B2 (en) * 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
JP2006135245A (ja) * 2004-11-09 2006-05-25 Sharp Corp 半導体レーザ装置の製造方法および半導体レーザ装置
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
CN100399521C (zh) * 2004-12-08 2008-07-02 联华电子股份有限公司 金属硅化层的制造方法
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
TWI282624B (en) 2005-07-26 2007-06-11 Fujitsu Ltd Semiconductor device and method for fabricating the same
US7151023B1 (en) * 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
KR100639464B1 (ko) * 2005-09-15 2006-10-27 동부일렉트로닉스 주식회사 반도체 장치 및 그 제조 방법
CN100461453C (zh) 2005-09-30 2009-02-11 联华电子股份有限公司 金属氧化物半导体晶体管及其制造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101661902B (zh) * 2008-08-29 2011-12-14 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN102044423A (zh) * 2009-10-09 2011-05-04 台湾积体电路制造股份有限公司 栅极结构的制造方法
CN103138746A (zh) * 2011-11-21 2013-06-05 三星电机株式会社 Cmos 集成电路和放大电路
CN103138746B (zh) * 2011-11-21 2016-01-20 三星电机株式会社 Cmos集成电路和放大电路
CN102522327A (zh) * 2011-12-22 2012-06-27 上海华虹Nec电子有限公司 自对准低电阻栅极rf ldmos的制造方法
CN109300790A (zh) * 2017-07-24 2019-02-01 格芯公司 具有牺牲多晶硅层的接触蚀刻停止层
CN109300790B (zh) * 2017-07-24 2021-11-02 格芯(美国)集成电路科技有限公司 具有牺牲多晶硅层的接触蚀刻停止层

Also Published As

Publication number Publication date
TWI340469B (en) 2011-04-11
TW200820437A (en) 2008-05-01
US7732878B2 (en) 2010-06-08
US20080093675A1 (en) 2008-04-24
CN101165917B (zh) 2010-07-21

Similar Documents

Publication Publication Date Title
CN101165917B (zh) 具有连续接触蚀刻停止层的金属氧化物半导体元件及其制造方法
US9947758B2 (en) Forming silicide regions and resulting MOS devices
US8962412B2 (en) Integrated circuit having raised source drains devices with reduced silicide contact resistance and methods to fabricate same
US6905922B2 (en) Dual fully-silicided gate MOSFETs
US7629655B2 (en) Semiconductor device with multiple silicide regions
US8643126B2 (en) Self aligned silicided contacts
US7545006B2 (en) CMOS devices with graded silicide regions
US7683441B2 (en) Semiconductor device and method for fabricating the same
US6372569B1 (en) Selective formation of hydrogen rich PECVD silicon nitride for improved NMOS transistor performance
US20070099360A1 (en) Integrated circuits having strained channel field effect transistors and methods of making
CN113284892A (zh) 半导体元件及其制作方法
US7960283B2 (en) Method for reducing silicide defects in integrated circuits
US7045448B2 (en) Semiconductor device and method of fabricating the same
US7955921B2 (en) Full silicide gate for CMOS
US7960280B2 (en) Process method to fully salicide (FUSI) both N-poly and P-poly on a CMOS flow
JP2008078451A (ja) 半導体装置及びその製造方法
KR20050029864A (ko) 게이트 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100721

CF01 Termination of patent right due to non-payment of annual fee