CN101099241B - 具有高k栅电介质和金属栅电极的半导体器件的制造方法 - Google Patents

具有高k栅电介质和金属栅电极的半导体器件的制造方法 Download PDF

Info

Publication number
CN101099241B
CN101099241B CN200580046271XA CN200580046271A CN101099241B CN 101099241 B CN101099241 B CN 101099241B CN 200580046271X A CN200580046271X A CN 200580046271XA CN 200580046271 A CN200580046271 A CN 200580046271A CN 101099241 B CN101099241 B CN 101099241B
Authority
CN
China
Prior art keywords
layer
silicon dioxide
metal
oxide
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200580046271XA
Other languages
English (en)
Other versions
CN101099241A (zh
Inventor
J·布拉斯克
S·裴
J·卡瓦利罗斯
M·梅茨
M·多齐
S·达塔
R·乔
J·麦滋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101099241A publication Critical patent/CN101099241A/zh
Application granted granted Critical
Publication of CN101099241B publication Critical patent/CN101099241B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述了一种半导体器件的制造方法。该方法包括将氮添加到二氧化硅层以在衬底上形成氮化二氧化硅层。在氮化二氧化硅层上形成牺牲层后,去除牺牲层以形成沟槽。在沟槽内的氮化二氧化硅层上形成高k栅介电层,且在高k栅介电层上形成金属栅电极。

Description

具有高k栅电介质和金属栅电极的半导体器件的制造方法
发明领域
本发明涉及半导体器件的制造方法,尤其涉及具有高k栅电介质和金属栅电极的半导体器件。
发明背景
CMOS场效应晶体管可包括高k栅电介质和金属栅电极。金属栅电极可利用替代栅工艺由不同的金属形成。在该工艺中,去除由一对隔片夹在中间的第一多晶硅层以在隔片之间形成沟槽。该沟槽用高k栅介电层来覆盖然后用第一金属来填充。在去除第二多晶硅层后,所得沟槽用高k栅介电层来覆盖并用不同于第一金属的第二金属来填充。当这一工艺在化学处理的衬底上形成高k栅介电层时,所得的晶体管可能是不可靠的。
可以使用减去方法,而不是应用替代栅工艺来在高k栅介电层上形成金属栅电极。在这一工艺中,通过在介电层上沉积金属层,对金属层进行掩模,然后去除金属层的未覆盖部分和介电层的下面的部分,来在高k栅介电层上形成金属栅电极。尽管利用这一工艺形成的晶体管可能是可靠的,但它可能无法提供最优性能。
因此,需要一种用于制造包括高k栅电介质和金属栅电极的半导体器件的改进的工艺。需要这种可生成高性能且可靠的器件的工艺。本发明的方法提供了这种工艺。
附图简述
图1a至1q表示可在实现本发明方法的一个实施例时形成的结构的横截面图。
在这些附图中所示的特征不是按比例绘制的。
本发明的详细描述
描述了一种半导体器件的制造方法。该方法包括在衬底上形成二氧化硅层,然后向该层添加氮以形成氮化二氧化硅层。在氮化二氧化硅层上形成牺牲层后,去除该牺牲层以生成一沟槽。在沟槽内的氮化二氧化硅层上形成高k栅介电层,并在高k栅介电层上形成金属栅电极。
在以下描述中,陈述了众多细节以提供对本发明的全面理解。然而,本领域的技术人员将明白,本发明可通过除这里明确描述的方式之外的多种方式来实施。因此,本发明不限于以下公开的具体细节。
图1a-1q示出可在实现本发明方法的一个实施例时形成的结构。最初,如图1a所示,在衬底100上热生长二氧化硅层101。衬底100可包括可用作可在其上构造半导体器件的基础的任何材料。例如,衬底100可包括硅和/或锗。因为二氧化硅层101的厚度较佳地不大于约3个单分子层,所以该层的厚度较佳地小于约10埃。
在衬底100上形成二氧化硅层101后,向二氧化硅层101添加氮。应将足量的氮添加到层101以生成可接受地可靠的膜。如图1b所示,可使用快速热氮化工艺来将适量的氮添加到二氧化硅层101以形成氮化二氧化硅层102。在这一工艺中,可将二氧化硅层101在至少约980℃的温度下曝露于以相对低的浓度存在于氮气氛中的氨相对短的时间。
在一个实施例中,将衬底100置于含有在氮气中包含0.07%的氨的气体混合物的炉子中。然后将炉温以例如每秒100℃的速率升高到约980℃至约1080℃之间。在炉温达到期望的水平后-较佳的是介于约1000℃至约1050℃之间的温度(例如,1040℃)-可使二氧化硅层101从氮/稀释的氨气氛中吸收氮约15秒。然后可从炉子中移出现在被氮化二氧化硅层102覆盖的衬底100。
在将二氧化硅层101转化成氮化二氧化硅层102后,可在氮化二氧化硅层102上形成牺牲层103。牺牲层103可包括利用常规的沉积工艺沉积在氮化二氧化硅层102的基本未掺杂的多晶硅层。当牺牲层103包括多晶硅时,其厚度较佳地在约100埃至约2000埃之间,且更佳的是在约500埃至约1100埃之间。
在形成含多晶硅的层103后,可在牺牲层103上形成硬掩模层130以生成图1c的结构。硬掩模层130较佳地包括氮化硅,且较佳地利用常规的沉积工艺来形成。在一个实施例中,硬掩模层130足够厚以在任何后续的离子注入步骤(例如,形成器件的源和漏区所进行的离子注入步骤)期间使含多晶硅的层103的任何掺杂最小化。同样期望形成足够厚的硬掩模层以保证在源区和漏区被硅化时少量的含多晶硅的层103被转化成硅化物。尽管硬掩模层130的厚度可以在约100埃至约1000埃之间,但当它包括氮化硅时该层的厚度较佳地在约800埃至约1000埃之间,以在后续的离子注入和硅化步骤期间保护含多晶硅的层103。
在形成硬掩模层130后,期望进行退火步骤以使硬掩模层130在随后形成的源和漏区受到高温退火时不易收缩。通过增加硬掩模层130在后续的高温退火期间的抗收缩性,该层可保留在这一高温退火后的任何硅化步骤期间保护含多晶硅的层103的能力。
当硬掩模层130包括氮化硅时,可在约600℃下在氮气氛中将其退火。在一个实施例中,在将图1c的结构置于炉子中后,可将温度以约每秒75℃的速率升高到约600℃。在达到该温度后,可将器件在移出炉子前退火约30秒至约5分钟(例如,约2分钟)。
尽管在一个较佳实施例中,硬掩模层130应足够厚以在后续的离子注入和硅化步骤期间保护含多晶硅的层103,但在一替换实施例中,可在硬掩模层130上形成蚀刻停止层(这里未示出)以帮助保护层103。这一蚀刻停止层可包括例如氧氮化硅。
当硬掩模层130包括氮化硅时,可利用对于含多晶硅的层103上的层130有选择性的干法蚀刻工艺来图案化该层以形成硬掩模135和140。然后可利用对于氮化二氧化硅层102上的层103有选择性的干法蚀刻工艺来图案化含多晶硅的层103以形成图案化的含多晶硅的层104和106。在形成硬掩模130和140以及图案化的含多晶硅的层104和106后,可去除氮化二氧化硅层102的曝露部分以形成图案化的氮化二氧化硅层105和107,如图1d所示。在一个较佳实施例中,可采用常规的湿法蚀刻工艺来形成图案化的氮化二氧化硅层105和107,但也可采用干法蚀刻工艺。
在形成图1d的结构后,在图案化的含多晶硅的层104和106以及图案化的氮化二氧化硅层105和107的相对侧上形成隔片。当这些隔片包括氮化硅时,它们可由以下方式形成。首先,将厚度基本均匀的氮化硅层(厚度较佳地小于约1000埃)沉积在整个结构上,从而形成图1e所示的结构。可使用常规的沉积工艺来形成该结构。
可利用常规的工艺来各向异性地蚀刻氮化硅层108以形成图1f的结构。作为该蚀刻步骤的结果,在第一图案化的含多晶硅的层104和第一图案化的氮化二氧化硅层105的相对侧上形成第一和第二隔片109和110。同时,在第二图案化的含多晶硅的层106和第二图案化的氮化二氧化硅层107的相对侧上形成第三和第四隔片111和112。如果硬掩模135和140包括氮化硅,则可能必须限制该各向异性蚀刻步骤的持续时间以保证没有去除这些硬掩模的大部分。或者,可在硬掩模上形成蚀刻停止层(未示出)(如以上建议的)以防止在蚀刻氮化硅层108时该各向异性蚀刻停止步骤去除大部分硬掩模。
在形成隔片109、110、111和112后,可将介电层145沉积在器件上,从而形成图1g的结构。介电层145可包括二氧化硅或低k材料。然后可从图案化的含多晶硅的层104和106去除介电层145和硬掩模135和140以形成图1h的结构。可应用一个或多个常规的化学机械抛光(“CMP”)步骤来去除介电层145的该部分并去除硬掩模135和140。此时,可去除硬掩模,因为到工艺的该阶段它们已提供了它们的功能。尽管未示出,但图1h的结构可包括可利用常规工艺形成的许多其它特征(例如,氮化硅蚀刻停止层、硅化源和漏区以及一个或多个缓冲层)。
在形成图1h的结构后,去除图案化的含多晶硅的层104和106。在该实施例中,应用湿法蚀刻工艺以同时去除这些层。这种湿法蚀刻工艺可包括将层104和106在足够的温度下曝露于含有氢氧化物源的水溶液中足够长的时间以充分去除所有这些层。该氢氧化物源可包括去离子水中的约0.1%至约10%体积的氢氧化铵或氢氧化四乙基铵。
在一个实施例中,图案化的含多晶硅的层104和106可通过将其曝露于一种溶液中来去除,该溶液维持在约20℃至约30℃之间的温度并包括去离子水中的约0.1%至约5%体积的氢氧化铵。在较佳地持续至少一分钟的该曝露步骤期间,期望以约700KHz至约1000KHz之间的频率来施加声能,同时以约3至8瓦/cm2之间耗散。例如,如果层104和106各自的厚度约是800埃,则它们可通过将其在约24℃下曝露于包括去离子水中的约1%体积的氢氧化铵的溶液中约5分钟,同时以约750KHz施加声能、以约5瓦/cm2耗散来去除。
只要硬掩模135和140(或这些硬掩模和覆盖的蚀刻停止层的组合)保证层104或层106中的任一层中的硼浓度不大于约1.0×e17原子/cm3,该湿法蚀刻工艺应充分去除所有的图案化的含多晶硅的层104和106。
在另一个实施例中,图案化的含多晶硅的层104和106可通过将其曝露于包括去离子水中的约2%至约10%体积的氢氧化四乙基铵的溶液中至少约10分钟来去除。例如,如果层104和106各自的厚度约是800埃,则可通过在约24℃下将其曝露于包括去离子水中的约5%体积的氢氧化四乙基铵的溶液中约10分钟来去除它们。在该实施例中,可能不必施加声能来帮助去除层104和106。只要硬掩模135和140(或它们和覆盖的蚀刻停止层的组合)保证层104或层106中的任一层中的n型或p型掺杂物的浓度不大于约1.0×e17原子/cm3,该湿法蚀刻工艺应充分去除所有的图案化的含多晶硅的层104和106。
如图1i所示,图案化的含多晶硅的层104和106的去除在介电层145中形成分别位于第一和第二隔片109和110之间以及第三和第四隔片111和112之间的沟槽113和114。在去除层104和106后,在沟槽113和114中以及图案化的氮化二氧化硅层105和107上形成高k栅介电层115,如图1j所示。高k栅介电层115可包括例如氧化铪、氧化硅铪、氧化镧、氧化铝镧、氧化锆、氧化硅锆、氧化钛、氧化钽、氧化钛锶钡、氧化钛钡、氧化钛锶、氧化钇、氧化铝、氧化钽钪铅以及铌酸铅锌。特别优选的是氧化铪、氧化镧、氧化锆和氧化铝。虽然这里描述了可用于形成高k栅介电层115的材料的几个例子,但该层可由用于减小栅漏电的其它材料形成。
可利用常规的原子层化学气相沉积(“ALCVD”)工艺在图案化的氮化二氧化硅层105和107上形成高k栅介电层115。在这种工艺中,可将金属氧化物前体(例如,金属氯化物)和蒸汽以选定的流速交替馈给CVD反应器,该CVD反应器在选定的压力下工作同时将衬底维持在选定的温度下。CVD反应器应工作足够长的时间以形成具有期望厚度的层。在大部分应用中,高k栅介电层115的厚度应小于约40埃,更佳地介于约5埃至约20埃之间。如图1j所示,当使用ALCVD工艺来形成高k栅介电层115时,该层除形成于沟槽113和114的底部外还形成于这些沟槽的侧面,并形成于介电层145上。
在形成高k栅介电层115后,可在介电层115上形成第一金属层116,如图1k所示。在该实施例中,第一金属层116包括p型金属层,它包括可用其获得金属PMOS栅电极的任何导电材料。可用于形成p型金属层116的p型材料包括钌、钯、铂、钴、镍和导电金属氧化物,例如氧化钌。p型金属层116较佳地具有约4.9eV至约5.2eV的功函数,并且可利用公知的物理气相沉积(“PVD”)或CVD工艺来形成于高k栅介电层115上。
p型金属层116应足够厚以保证形成于其上的任何材料都不会显著影响其功函数。为此,p型金属层116的厚度较佳地在约25埃至约300埃之间,更佳的是在约50埃至约100埃之间。虽然这里描述了可用于形成p型金属层116的材料的几个例子,但该层可由很多种其它材料形成。例如,p型金属层116可包括金属碳化物层,例如包括相对高浓度的氮的碳化钛。类似于高k栅介电层115,在本实施例中,p型金属层116的部分覆盖沟槽113和114同时该层的部分溢出到介电层145上。
在高k栅介电层115上形成p型金属层116后,可在p型金属层116上沉积掩模层125,从而填充沟槽113和114。掩模层125可包括玻璃上旋涂(“SOG”)材料,它用于在蚀刻p型金属层116的第二部分前对该金属层的第一部分进行掩模。如图11所示,SOG层125的第一部分126覆盖高k栅介电层115的第一部分118,而SOG层125的第二部分127覆盖高k栅介电层115的第二部分119。掩模128(例如,图案化的光刻胶层)覆盖SOG层125的第一部分126。SOG层125可被沉积到p型金属层116上,且可利用常规工艺来形成掩模128,正如本领域的技术人员所清楚的。
然后去除SOG层125的第二部分127,同时保留SOG层125的第一部分126。常规的SOG蚀刻工艺可用于去除第二部分127。该去除步骤曝露p型金属层116的部分129。然后去除p型金属层116的曝露部分129,如图1m所示。在去除曝露部分129、掩模128和SOG层125的第一部分126后,得到图1n的结构。可使用常规的工艺步骤来去除曝露部分129、掩模128和第一部分126-正如本领域的技术人员所清楚的。
在本发明的方法中应用SOG材料作为掩模材料至少出于以下原因是有益的。这种SOG材料可填充例如光刻胶之类的其它材料可能没有充分填充的窄沟槽。此外,用于去除SOG材料的常规的蚀刻工艺可在不去除大部分下面的p型金属层的情况下有效地去除这种材料。
在蚀刻p型金属层116后,可在p型金属层116上沉积第二金属层120,如图1o所示。在该实施例中,第二金属层120包括n型金属层,它可包括可用其获得金属NMOS栅电极的任何导电材料。可用于形成n-型金属层120的n型材料包括铪、锆、钛、钽、铝及包括这些元素的金属碳化物,即,碳化钛、碳化锆、碳化钽、碳化铪和碳化铝。n型金属层120或者可包括铝化物,例如,包括铪、锆、钛、钽或钨的铝化物。
n型金属层120较佳地具有约3.9eV至约4.2eV的功函数,并可利用公知的PVD或CVD工艺形成于高k栅介电层115的第二部分119上以及p型金属层116的其余部分上。类似于p型金属层116,n型金属层120应足够厚以保证形成于其上的任何材料不会显著影响其功函数。同样类似于p型金属层116,n型金属层120的厚度较佳地在约25埃至约300埃之间,更佳的是在约50埃至约100埃之间。
在该实施例中,在高k栅介电层115的第二部分119上以及在p型金属层116的剩余部分上形成n型金属层120后,在n型金属层120上形成填充金属121。填充金属121填充沟槽113和114的剩余部分,并且覆盖介电层145,如图1p所示。填充金属121较佳地包括可易于抛光的金属,并且较佳地利用常规的金属沉积工艺沉积在整个器件上。这一填充金属可包括,例如,氮化钛、钨、钛、铝、钽、氮化钽、钴、铜或镍。在一个特别优选的实施例中,填充金属121包括氮化钛。可利用不会显著影响下面的n型金属层120或下面的p型金属和介电层的适当的CVD或PVD工艺来沉积氮化钛。
在形成图1p的结构后,从介电层145上去除填充金属121、n型金属层120、p型金属层116和高k栅介电层115以形成图1q的结构。可利用适当的CMP或蚀刻工艺来从介电层145去除这些层。在一个较佳实施例中,可采用CMP和蚀刻工艺的组合,例如去除填充金属121的CMP步骤,然后是去除n型金属层120、p型金属层116和高k栅介电层115的蚀刻步骤。在该实施例中,所得的器件包括金属PMOS栅电极和金属NMOS栅电极。
在从介电层145上去除填充金属121、n型金属层120、p型金属层116和高k栅介电层115后,可利用常规的沉积工艺在所得结构上沉积覆盖介电层(未示出)。沉积这种覆盖介电层之后用于完成该器件的处理步骤(例如,形成器件的触点、金属互连和钝化层)是本领域的技术人员所公知的,且这里不再描述。
本发明的方法可使本领域的技术人员利用替代栅工艺来制造包括高k栅电介质和金属栅电极的半导体器件,该半导体器件可靠并提供高性能。虽然以上描述指定了可用于本发明方法的某些步骤和材料,但本领域的技术人员将意识到可进行许多修改和替换。因此,所有这些修改、替换和增加都落入由所附权利要求书限定的本发明的精神和范围内。

Claims (20)

1.一种半导体器件的制造方法,包括:
在衬底上形成二氧化硅层;
将氮添加到所述二氧化硅层以形成氮化二氧化硅层;
在所述氮化二氧化硅层上形成牺牲层;
去除所述牺牲层以形成第一沟槽和第二沟槽;
在所述氮化二氧化硅层上以及所述第一和第二沟槽内形成高k栅介电层;
在所述第一沟槽内的所述高k栅介电层第一部分上形成第一金属栅电极;以及
在所述第一金属栅电极上以及所述第二沟槽内的所述高k栅介电层第二部分上形成第二金属栅电极,其中所述第一金属栅电极包括p型金属,所述第二金属栅电极包括n型金属。
2.如权利要求1所述的方法,其特征在于,所述高k栅介电层包括选自由氧化铪、氧化硅铪、氧化镧、氧化铝镧、氧化锆、氧化硅锆、氧化钽、氧化钛、氧化钛锶钡、氧化钛钡、氧化钛锶、氧化钇、氧化铝、氧化钽钪铅以及铌酸铅锌组成的组的材料。
3.如权利要求1所述的方法,其特征在于,应用快速热氮化工艺以形成所述氮化二氧化硅层,所述快速热氮化工艺包括将所述二氧化硅层在至少980℃的温度下曝露于氨中。
4.如权利要求1所述的方法,其特征在于:
所述氮化二氧化硅层的厚度小于10埃;
所述牺牲层的厚度在500埃至1100埃之间并包括多晶硅;
所述牺牲层通过将其曝露于包括氢氧化物源的水溶液中来去除;以及
所述高k栅介电层的厚度在5埃至20埃之间。
5.如权利要求1所述的方法,其特征在于,
所述第一金属栅电极包括选自由钌、钯、铂、钴、镍以及导电金属氧化物组成的组的材料,
所述第二金属栅电极包括选自由铪、锆、钛、钽、铝、金属碳化物以及铝化物组成的组的材料。
6.一种半导体器件的制造方法,包括:
在衬底上形成二氧化硅层;
将氮添加到所述二氧化硅层以形成氮化二氧化硅层;
在所述氮化二氧化硅层上形成含多晶硅的层;
在所述含多晶硅的层上形成硬掩模层;
蚀刻所述硬掩模层、所述含多晶硅的层以及所述氮化二氧化硅层,以形成覆盖图案化的含多晶硅的层和图案化的氮化二氧化硅层的硬掩模;
在所述图案化的含多晶硅的层和所述图案化的氮化二氧化硅层的相对侧上形成第一和第二隔片;
将所述图案化的含多晶硅的层曝露于包括氢氧化物源的水溶液中,以去除所述图案化的含多晶硅的层,同时保留所述图案化的氮化二氧化硅层,并形成位于所述第一和第二隔片之间的沟槽;
在所述图案化的氮化二氧化硅层上和所述沟槽内形成高k栅介电层;以及
在所述高k栅介电层上形成金属栅电极。
7.如权利要求6所述的方法,其特征在于:
所述氮化二氧化硅层的厚度小于10埃;
所述含多晶硅的层的厚度在500埃至1100埃之间;以及
所述高k栅介电层的厚度在5埃至20埃之间。
8.如权利要求6所述的方法,其特征在于:
所述高k栅介电层包括选自由氧化铪、氧化硅铪、氧化镧、氧化铝镧、氧化锆、氧化硅锆、氧化钽、氧化钛、氧化钛锶钡、氧化钛钡、氧化钛锶、氧化钇、氧化铝、氧化钽钪铅以及铌酸铅锌组成的组的材料;以及
所述金属栅电极包括金属层,所述金属层包括选自由铪、锆、钛、钽、铝、金属碳化物、铝化物、钌、钯、铂、钴、镍以及导电金属氧化物组成的组的材料。
9.如权利要求8所述的方法,其特征在于,所述金属层包括选自由铪、锆、钛、钽、铝、金属碳化物以及铝化物组成的组的材料,并具有在3.9eV至4.2eV之间的功函数。
10.如权利要求8所述的方法,其特征在于,所述金属层包括选自由钌、钯、铂、钴、镍以及导电金属氧化物组成的组的材料,并具有在4.9eV至5.2eV之间的功函数。
11.一种半导体器件的制造方法,包括:
在衬底上形成二氧化硅层;
将氮添加到所述二氧化硅层以形成氮化二氧化硅层;
在所述氮化二氧化硅层上形成含多晶硅的层;
在所述含多晶硅的层上形成含氮化硅的层;
蚀刻所述含氮化硅的层、所述含多晶硅的层以及所述氮化二氧化硅层,以形成覆盖第一和第二图案化的含多晶硅的层以及第一和第二图案化的氮化二氧化硅层的第一和第二含氮化硅的硬掩模;
在所述第一图案化的含多晶硅的层和所述第一图案化的氮化二氧化硅层的相对侧上形成第一和第二隔片,并在所述第二图案化的含多晶硅的层和所述第二图案化的氮化二氧化硅层的相对侧上形成第三和第四隔片;
从所述第一和第二图案化的含多晶硅的层去除所述第一和第二含氮化硅的硬掩模;
将所述第一和第二图案化的含多晶硅的层曝露于包括氢氧化物源的水溶液中,以去除所述第一和第二图案化的含多晶硅的层,同时保留所述第一和第二图案化的氮化二氧化硅层,并形成位于所述第一和第二隔片之间的第一沟槽和位于所述第三和第四隔片之间的第二沟槽;
在所述第一和第二氮化二氧化硅层上和所述第一和第二沟槽内形成高k栅介电层;
在所述高k栅介电层上形成金属层;
在所述金属层上形成掩模层,所述掩模层的第一部分覆盖所述高k栅介电层的第一部分,而所述掩模层的第二部分覆盖所述高k栅介电层的第二部分;
去除所述掩模层的第二部分,同时保留所述掩模层的第一部分,从而曝露部分所述金属层;
去除所述金属层的曝露部分以形成覆盖所述高k栅介电层的第一部分但不覆盖所述高k栅介电层的第二部分的第一金属层;
去除所述掩模层的第一部分;以及
在所述第一金属层上以及所述高k栅介电层的第二部分上形成第二金属层,所述第二金属层覆盖所述第一金属层并覆盖所述高k栅介电层的第二部分。
12.如权利要求11所述的方法,其特征在于,所述高k栅介电层的厚度在5埃至20埃之间,并包括选自由氧化铪、氧化硅铪、氧化镧、氧化铝镧、氧化锆、氧化硅锆、氧化钽、氧化钛、氧化钛锶钡、氧化钛钡、氧化钛锶、氧化钇、氧化铝、氧化钽钪铅以及铌酸铅锌组成的组的材料。
13.如权利要求11所述的方法,其特征在于:
所述第一金属层包括选自由钌、钯、铂、钴、镍以及导电金属氧化物组成的组的材料,并具有在4.9eV至5.2eV之间的功函数;以及
所述第二金属层包括选自由铪、锆、钛、钽、铝、金属碳化物以及铝化物组成的组的材料,并具有在3.9eV至4.2eV之间的功函数。
14.如权利要求11所述的方法,其特征在于,所述第一金属层包括厚度在50埃至100埃之间的p型金属层,而所述第二金属层包括厚度在50埃至100埃的n型金属层,并且所述方法还包括在所述n型金属层上形成填充金属。
15.如权利要求14所述的方法,其特征在于,所述填充金属选自由氮化钛、钨、钛、铝、钽、氮化钽、钴、铜和镍组成的组。
16.如权利要求11所述的方法,其特征在于,应用快速热氮化工艺来形成所述氮化二氧化硅层,所述快速热氮化工艺包括在至少980℃的温度下将所述二氧化硅层曝露于氨。
17.如权利要求11所述的方法,其特征在于,所述含氮化硅的层的厚度在800埃至1000埃之间,且所述掩模层包括旋压玻璃(spin-on-glass)。
18.如权利要求11所述的方法,其特征在于,所述第一和第二图案化的含多晶硅的层通过将所述第一和第二图案化的含多晶硅的层曝露于包括0.1%至10%体积的氢氧化物源的水溶液来去除,所述氢氧化物源选自由氢氧化铵和氢氧化四乙基铵组成的组。
19.如权利要求18所述的方法,其特征在于,所述第一和第二图案化的含多晶硅的层在20℃至30℃的温度下曝露于所述水溶液中,所述水溶液包括去离子水中的0.1%至5%体积的氢氧化铵,并且其中施加声能同时将所述第一和第二图案化的含多晶硅的层以700KHz至1000KHz之间的频率曝露于所述水溶液中,同时以3至8瓦/cm2来耗散。
20.如权利要求18所述的方法,其特征在于,所述第一和第二图案化的含多晶硅的层在20℃至30℃的温度下曝露于所述水溶液中至少10分钟,所述水溶液包括去离子水中的2%至10%体积的氢氧化四乙基铵。
CN200580046271XA 2004-12-07 2005-12-07 具有高k栅电介质和金属栅电极的半导体器件的制造方法 Expired - Fee Related CN101099241B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/006,218 2004-12-07
US11/006,218 US7381608B2 (en) 2004-12-07 2004-12-07 Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
PCT/US2005/044696 WO2006063269A2 (en) 2004-12-07 2005-12-07 A method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode

Publications (2)

Publication Number Publication Date
CN101099241A CN101099241A (zh) 2008-01-02
CN101099241B true CN101099241B (zh) 2011-07-06

Family

ID=36574857

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580046271XA Expired - Fee Related CN101099241B (zh) 2004-12-07 2005-12-07 具有高k栅电介质和金属栅电极的半导体器件的制造方法

Country Status (8)

Country Link
US (1) US7381608B2 (zh)
JP (1) JP2008523591A (zh)
KR (1) KR20070086471A (zh)
CN (1) CN101099241B (zh)
DE (1) DE112005003119T5 (zh)
GB (1) GB2436047B (zh)
TW (1) TWI310588B (zh)
WO (1) WO2006063269A2 (zh)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667277B2 (en) * 2005-01-13 2010-02-23 International Business Machines Corporation TiC as a thermally stable p-metal carbide on high k SiO2 gate stacks
US20060267113A1 (en) * 2005-05-27 2006-11-30 Tobin Philip J Semiconductor device structure and method therefor
JP4626411B2 (ja) * 2005-06-13 2011-02-09 ソニー株式会社 半導体装置および半導体装置の製造方法
US7737770B2 (en) * 2006-03-31 2010-06-15 Intel Corporation Power switches having positive-channel high dielectric constant insulated gate field effect transistors
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US20080076216A1 (en) * 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
DE102007046849B4 (de) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US7892911B2 (en) * 2008-01-10 2011-02-22 Applied Materials, Inc. Metal gate electrodes for replacement gate integration scheme
US20090194554A1 (en) * 2008-02-06 2009-08-06 Gary Scott Bliss Wipes Canister
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US7670894B2 (en) * 2008-04-30 2010-03-02 Intel Corporation Selective high-k dielectric film deposition for semiconductor device
US7804130B1 (en) * 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US20100052077A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate structure including buffer layer
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
US8198685B2 (en) * 2008-12-23 2012-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with metal gate and methods for forming the same
KR101656443B1 (ko) * 2009-11-20 2016-09-22 삼성전자주식회사 금속 게이트 스택 구조물을 갖는 씨모스 소자
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
CN102110650A (zh) 2009-12-29 2011-06-29 中国科学院微电子研究所 一种半导体器件及其制造方法
KR101656444B1 (ko) * 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8330227B2 (en) * 2010-02-17 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor structure for SRAM and fabrication methods thereof
US8637390B2 (en) * 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
EP2395333B1 (en) * 2010-06-09 2017-09-13 Nxp B.V. Light sensor
US8404533B2 (en) 2010-08-23 2013-03-26 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8629014B2 (en) * 2010-09-20 2014-01-14 International Business Machines Corporation Replacement metal gate structures for effective work function control
US8546211B2 (en) * 2010-11-17 2013-10-01 International Business Machines Corporation Replacement gate having work function at valence band edge
KR101746709B1 (ko) * 2010-11-24 2017-06-14 삼성전자주식회사 금속 게이트 전극들을 갖는 반도체 소자의 제조방법
CN102479708B (zh) * 2010-11-25 2015-06-03 中芯国际集成电路制造(北京)有限公司 Mos晶体管的形成方法
US8450169B2 (en) * 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8642457B2 (en) 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device
US8501634B2 (en) 2011-03-10 2013-08-06 United Microelectronics Corp. Method for fabricating gate structure
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US8518811B2 (en) * 2011-04-08 2013-08-27 Infineon Technologies Ag Schottky diodes having metal gate electrodes and methods of formation thereof
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US20130059434A1 (en) * 2011-09-07 2013-03-07 Tao Yang Method for manufacturing electrodes and wires in gate last process
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8846513B2 (en) * 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
US9076889B2 (en) * 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
JP5837387B2 (ja) 2011-10-11 2015-12-24 ルネサスエレクトロニクス株式会社 半導体集積回路装置および半導体集積回路装置の製造方法
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8669618B2 (en) 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8941184B2 (en) * 2011-12-16 2015-01-27 International Business Machines Corporation Low threshold voltage CMOS device
US8637940B2 (en) * 2011-12-20 2014-01-28 Infineon Technologies Austria Ag Semiconductor device with self-charging field electrodes and compensation regions
CN103177945B (zh) * 2011-12-20 2016-03-30 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极制造方法
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR101909091B1 (ko) * 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9136206B2 (en) * 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
KR101912579B1 (ko) 2012-09-07 2018-10-30 삼성전자 주식회사 반도체 장치의 제조 방법
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9153444B2 (en) 2013-06-19 2015-10-06 Varian Semiconductor Equipment Associates, Inc. Process flow for replacement metal gate transistors
US9391149B2 (en) 2013-06-19 2016-07-12 Infineon Technologies Austria Ag Semiconductor device with self-charging field electrodes
US9728623B2 (en) 2013-06-19 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Replacement metal gate transistor
US9892922B1 (en) * 2013-07-05 2018-02-13 Altera Corporation Methods for fabricating integrated circuits with triple gate oxide devices
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
WO2015099761A1 (en) * 2013-12-27 2015-07-02 Intel Corporation Selective etching for gate all around architectures
KR102125749B1 (ko) * 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9385120B2 (en) 2014-06-05 2016-07-05 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102394887B1 (ko) 2014-09-01 2022-05-04 삼성전자주식회사 반도체 장치의 제조 방법
KR102240022B1 (ko) 2014-11-26 2021-04-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN106298656A (zh) * 2015-05-20 2017-01-04 联华电子股份有限公司 半导体元件制造方法
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107492499A (zh) * 2016-06-13 2017-12-19 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
CN108695321B (zh) * 2017-04-07 2021-09-03 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102495258B1 (ko) * 2018-04-24 2023-02-03 삼성전자주식회사 반도체 장치
US11004687B2 (en) * 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes
KR102288534B1 (ko) * 2019-10-15 2021-08-11 충남대학교산학협력단 선택적 액적 흐름 표면 및 이의 제작방법
US11527621B2 (en) * 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
CN115881549B (zh) * 2023-01-19 2023-05-09 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043157A (en) * 1997-12-18 2000-03-28 Advanced Micro Devices Semiconductor device having dual gate electrode material and process of fabrication thereof
US6093590A (en) * 1999-09-14 2000-07-25 Worldwide Semiconductor Manufacturing Corp. Method of fabricating transistor having a metal gate and a gate dielectric layer with a high dielectric constant
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
CN1296639A (zh) * 1998-09-28 2001-05-23 皇家菲利浦电子有限公司 具有场效应晶体管的半导体器件的制造方法
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US197790A (en) * 1877-12-04 Improvement in grubber and stump-extractor
US45080A (en) * 1864-11-15 Combined portable sheep rack
US32303A (en) * 1861-05-14 Time-telltale
US58374A (en) * 1866-10-02 Improved method of preserving flour, grain
US86504A (en) * 1869-02-02 Improvement in metal and rubber tires combined
US654906A (en) * 1897-11-11 1900-07-31 Daniel Brand Marsh Apparatus for examining condition of the lungs and heart.
US670568A (en) * 1901-01-02 1901-03-26 William Henry Spencer Fruit-gatherer.
US696345A (en) * 1901-12-24 1902-03-25 Herbert Edward Law Massaging instrument.
US6063698A (en) 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US20020197790A1 (en) 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
GB2358737A (en) 1999-03-01 2001-08-01 Nec Corp Methods for manufacturing a complimentary integrated circuit
US6255698B1 (en) 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US6444555B2 (en) * 1999-12-07 2002-09-03 Advanced Micro Devices, Inc. Method for establishing ultra-thin gate insulator using anneal in ammonia
US6184072B1 (en) 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
JP2001351917A (ja) * 2000-06-05 2001-12-21 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP3906020B2 (ja) * 2000-09-27 2007-04-18 株式会社東芝 半導体装置及びその製造方法
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6475874B2 (en) 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6365450B1 (en) 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6514828B2 (en) 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP4044306B2 (ja) * 2001-07-26 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6573193B2 (en) 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6620713B2 (en) 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6617209B1 (en) 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6617210B1 (en) 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6797622B2 (en) * 2002-07-31 2004-09-28 Intel Corporation Selective etching of polysilicon
US6770568B2 (en) 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US6746967B2 (en) 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6713358B1 (en) 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4197607B2 (ja) * 2002-11-06 2008-12-17 株式会社東芝 絶縁ゲート型電界効果トランジスタを含む半導体装置の製造方法
US6787440B2 (en) 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US6709911B1 (en) 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043157A (en) * 1997-12-18 2000-03-28 Advanced Micro Devices Semiconductor device having dual gate electrode material and process of fabrication thereof
CN1296639A (zh) * 1998-09-28 2001-05-23 皇家菲利浦电子有限公司 具有场效应晶体管的半导体器件的制造方法
US6210999B1 (en) * 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
US6093590A (en) * 1999-09-14 2000-07-25 Worldwide Semiconductor Manufacturing Corp. Method of fabricating transistor having a metal gate and a gate dielectric layer with a high dielectric constant
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration

Also Published As

Publication number Publication date
CN101099241A (zh) 2008-01-02
US7381608B2 (en) 2008-06-03
WO2006063269A3 (en) 2006-09-21
GB2436047B (en) 2011-03-16
DE112005003119T5 (de) 2008-04-17
TWI310588B (en) 2009-06-01
TW200639947A (en) 2006-11-16
KR20070086471A (ko) 2007-08-27
GB0712808D0 (en) 2007-08-08
JP2008523591A (ja) 2008-07-03
GB2436047A (en) 2007-09-12
WO2006063269A2 (en) 2006-06-15
US20060121678A1 (en) 2006-06-08

Similar Documents

Publication Publication Date Title
CN101099241B (zh) 具有高k栅电介质和金属栅电极的半导体器件的制造方法
CN100550350C (zh) 具有金属和硅化物栅电极的cmos器件及其制作方法
CN101076888B (zh) 形成双金属互补金属氧化物半导体集成电路
US7390709B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US7153784B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
TWI395296B (zh) 半導體裝置之製造方法
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
CN1873922B (zh) 一种具有高k栅介质层和硅化物栅电极的半导体器件的制造方法
CN102867850A (zh) 具有高k栅电介质和金属栅电极的半导体器件
US9633856B2 (en) Method of forming a singe metal that performs N and P work functions in high-K/metal gate devices
CN106158860B (zh) 半导体结构及其制造方法
US20050272191A1 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
EP1794790A1 (en) A metal gate electrode semiconductor device
TWI463543B (zh) 形成閘極堆疊及其結構之方法
CN103094214B (zh) 制作半导体器件的方法
CN103730418A (zh) 一种制作半导体器件的方法
CN108735671A (zh) 半导体器件的制造方法
CN105990436A (zh) 半导体器件及其制造方法
CN105374734A (zh) 半导体结构的形成方法
CN109817585A (zh) 金属氧化物半导体器件及其制造方法
WO2009157114A1 (ja) 半導体装置及びその製造方法
JP2012099549A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110706

Termination date: 20191207