CN101097949A - 具有栅叠层的半导体结构和制造这种半导体结构的方法 - Google Patents

具有栅叠层的半导体结构和制造这种半导体结构的方法 Download PDF

Info

Publication number
CN101097949A
CN101097949A CNA2007101268173A CN200710126817A CN101097949A CN 101097949 A CN101097949 A CN 101097949A CN A2007101268173 A CNA2007101268173 A CN A2007101268173A CN 200710126817 A CN200710126817 A CN 200710126817A CN 101097949 A CN101097949 A CN 101097949A
Authority
CN
China
Prior art keywords
charge density
fixed space
gate
gate dielectric
gate stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007101268173A
Other languages
English (en)
Inventor
R·杰米
S·扎法
V·K·帕鲁丘里
张立伦
韩金平
M·M·弗兰克
E·古谢夫
K·K·陈
D·A·布坎南
E·A·卡蒂尔
C·P·德埃米克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101097949A publication Critical patent/CN101097949A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供一种半导体结构及其形成方法,该半导体结构特别地是一种在场效应晶体管(FET)中有用的栅叠层,其中通过对栅电介质材料引入固定空间分布的电荷密度来控制其阈电压。公开nFET和/或pFET结构。按照本发明,栅叠层或FET的固定空间分布的电荷密度指示占据空间的电荷密度,它在器件操作条件下基本上保持为时间函数的常量,并且至少在电介质材料之内的一个位置处,或在它与沟道、栅电极、隔离件或器件的任何其他结构元件之间的界面处为非零。

Description

具有栅叠层的半导体结构和制造这种半导体结构的方法
技术领域
本发明涉及一种半导体结构,并且更特别地涉及一种在场效应晶体管(FET)中有用的栅叠层,其中通过对栅电介质材料引入固定空间分布的电荷密度,控制其阈电压和平带电压。公开了nFET和/或pFET结构。本发明还提供一种制造这种半导体结构特别是栅叠层的方法,其中栅电介质具有固定空间分布的电荷密度,这样能够控制器件的阈电压/平带电压。
背景技术
在目前的半导体技术中,对于给定的栅电介质厚度和栅材料(通常为n+或p+多晶硅),通过选择适当的沟道掺杂浓度,设定金属氧化物半导体场效应晶体管(MOSFET)的阈电压。
在这样的技术中,已知SiO2(目前选择的栅电介质)和/或多晶硅(目前选择的栅导体)的替换对于互补金属氧化物半导体(CMOS)器件的微缩(scaling)是一项非常急迫的任务。对于高介电常数k(比二氧化硅的介电常数大的k)的CMOS器件,在寻找和选择适当金属栅方面已经做了大量努力。例如,已经调查了包括化合物金属的双金属栅或完全掺杂硅化物金属栅,以便得到理想的pFET和/或nFET功函数。迄今为止,已经取得了许多进展,但是关于pFET和nFET两者还没有明显成功方法的报道。
在高阈电压(低漏泄)器件中,有效栅电介质厚度的微缩意味着沟道掺杂必须非常高(约6×1017原子/cm3或更大的数量级)。这样结果带来由于掺杂剂波动引起的增加的随机阈电压变化,由于增加的电场引起的迁移率降低,以及增加的带到带隧穿漏泄。特别地,掺杂剂波动预期在未来技术中是一个主要的产量限制因素。因为可能使用低沟道掺杂,所以通过其他手段例如栅功函数对器件阈电压进行调整,能消除这些问题。在低沟道掺杂下,通过利用薄本体的绝缘体上半导体(SOI)器件结构(例如,完全耗尽的SOI、超薄SOI或双栅FET),代替如目前SOI或体设计中所用的晕环注入剂,将可能控制短沟道效应。
可行的CMOS技术对于每个pFET和nFET器件要求至少两个不同的阈电压,以允许高性能和低漏泄选择。这样特别地对于栅功函数工程成为挑战,因为未掺杂本体器件要求在同一半导体晶片上至少集成四个不同栅材料。通过先前提出的方法例如离子注入,以选择性地改变栅电极材料,能解决这个问题,但是这些现有技术策略经常受到所能达到的栅功函数的范围的限制,并且它们仅能应用于有限集合的材料组合。
鉴于以上情况,一直需要提供一种具有阈电压/平带电压控制的半导体结构,以及一种制造这种半导体结构的方法。
发明内容
本发明提供一种在场效应晶体管(FET)中有用的半导体结构,特别是一种栅叠层,其中通过对栅电介质材料引入固定空间分布的电荷密度,控制其阈电压/平带电压。公开了nFET和/或pFET结构。对于nFET,能实现从导带边缘约200mV至约50mV的阈电压/平带电压,而对于pFET,能实现从价带边缘约200mV至约50mV的阈电压/平带电压。
在整个本申请中使用术语“固定空间分布的电荷密度”来指示占据空间的电荷密度,它在器件操作条件下基本上保持为时间函数的常量,并且至少在电介质材料之内的一个位置处,或在它与沟道、栅电极、隔离件或器件的任何其他结构元件之间的界面处为非零。根据本发明,对于每个nFET或pFET提供约1×1012cm-2至1×1013cm-2的固定空间分布的电荷密度。当nFET和pFET两者都存在时,对于nFET提供约1×1012cm-2至约1×1013cm-2的固定空间分布的电荷密度,而对于pFET提供约1×1013cm-2至约5×1013cm-2的固定空间分布的电荷密度。
能引起栅电介质中固定空间分布的电荷密度的结构/化学特征包括空位、低氧化物、空隙、悬挂键、晶界、相界、堆垛层错、键角密度孔隙率变化、应变键、异类原子或功能团或不同成分的电介质之间的界面。以下将在这里更详细地描述用于形成这些结构/化学特征的技术。
概括地说,本发明提供一种半导体结构,包括:
至少一个栅叠层,布置在半导体衬底上,所述至少一个栅叠层自底到顶包括栅电介质和栅电极,其中所述栅电介质包含固定空间分布的电荷密度,使栅叠层的阈电压和平带电压稳定在目标值。
该至少一个栅叠层可以是pFET或nFET。
还提供一种在同一半导体衬底上包括nFET和pFET两者的半导体结构。这种结构包括:
至少一个nFET和至少一个pFET,其位于半导体衬底上,每个FET都包括栅叠层,该栅叠层自底到顶包括栅电介质和栅电极,其中所述至少一个nFET的所述栅电介质包含第一固定空间分布的电荷密度,并且所述至少一个pFET的所述栅电介质包括与所述第一固定空间分布的电荷密度不同的第二固定空间分布的电荷密度,其中第一和第二固定空间电荷密度的每一个都使其FET的阈电压和平带电压稳定在目标值。
除上述半导体结构外,本发明还提供一种制造这种半导体结构的方法。概括地说,本发明的方法包括:
在半导体衬底上设置栅叠层,所述栅叠层自底到顶包括栅电介质和栅电极;以及
对所述栅叠层施加偏压,其中所述栅电介质包含固定空间分布的电荷密度,使栅叠层的阈电压和平带电压稳定在目标值。
附图说明
图1A至图1F是描绘出本发明用于制造栅叠层所使用的基本处理步骤的示意图(通过横截面图),其中使用栅电介质之内的固定空间分布的电荷密度,来控制其阈电压和平带电压。
图2是描绘出本发明的包括至少一个nFET和至少一个pFET的半导体结构的示意图(通过横截面图),其中FET基本上包括相同结构元件,其中在不同导电性的每个FET上具有不同空间分布的电荷密度。
具体实施方式
本发明提供一种半导体结构以及制造这种结构的方法,其中对栅电介质材料设置固定空间分布的电荷密度,以用于控制其阈电压/平带电压。现在通过参考以下伴随本申请的讨论和附图,将更详细地描述本发明。注意到附图是为了说明性目的而提供的,并且同样地,它们不是按比例绘制的。
如上所述,本发明提供一种半导体结构和方法,其中在栅电介质中引入固定空间分布的电荷密度,这样能够控制FET的阈电压和平带电压。本发明的结构包括在半导体衬底上的至少一个栅叠层,所述至少一个栅叠层自底到顶包括栅电介质和栅电极,其中所述栅电介质包含固定空间分布的电荷密度,使栅叠层的阈电压和平带电压稳定在目标值。现在参考图1A至图1F,将更详细地描述本发明的结构。
注意到,这些附图说明用于形成本发明半导体结构的基本处理步骤。虽然图1A至图1F表示单个FET,但是本发明预期在同一半导体衬底上形成多个FET。多个FET可以具有相同导电性(即全部为nFET或全部为pFET)。可选择地,多个FET可以具有不同导电性(即某些为nFET和某些为pFET)。当形成不同的多个FET时,除了使用块掩模处理一组FET,同时保护另一组FET外,使用如图1A至图1F说明的相同的基本处理步骤。
首先参考图1A所示的初始结构10。具体而言,初始结构10包括半导体衬底12,在其上自底到顶布置了可选的界面绝缘14和栅电介质16。
本发明所使用的半导体衬底12包括任何半导体材料,包括但不限于:Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP和所有其他III/V或II/VI族化合物半导体。半导体衬底12也可以包括有机半导体或分层半导体,例如Si/SiGe、绝缘体上硅(SOI)或绝缘体上SiGe(SGOI)。在本发明的某些实施例中,优选地,半导体衬底12由含Si半导体材料,即包括硅的半导体材料构成。半导体衬底12可以掺杂、不掺杂,或其中包含掺杂和不掺杂区域。
当使用SOI衬底时,这些衬底包括顶部和底部半导体层,例如Si层,它们至少部分地通过埋置绝缘层分开。埋置的绝缘层例如包括晶体或非晶体氧化物、氮化物或其任何组合。优选地,埋置绝缘层是氧化物。典型地,在层转移工艺的初始阶段期间,或在离子注入和退火工艺例如SIMOX(氧离子注入隔离)期间,形成埋置绝缘层。
衬底12可以具有单一晶体取向,或可选择地,也能使用具有不同晶体取向的表面区域的混合半导体衬底。混合衬底允许在提高所形成特定FET的性能的特定晶体取向上制造FET。例如,混合衬底允许提供一种结构,其中pFET可以在(110)晶体取向上形成,而nFET可以在(100)晶体取向上形成。当使用混合衬底时,它可以具有类似SOI的特性、类似体材料的特性或类似SOI和体材料组合的特性。
半导体衬底12也可以包括第一掺杂(n或p)区域,和第二掺杂(n或p)区域。为了清楚起见,在本申请的附图中没有特定地表示掺杂区域。第一掺杂区域和第二掺杂区域可以相同,或它们可以具有不同的导电性和/或掺杂浓度。这些掺杂区域称为“阱”。
然后在半导体衬底12中典型地形成至少一个隔离区域(未示出)。隔离区域可以是沟隔离区域或场氧化物隔离区域。沟隔离区域利用本领域技术人员熟知的常规沟隔离工艺而形成。例如,在形成沟隔离区域时,可以使用光刻、蚀刻和用沟电介质填充沟。可选地,在沟填无之前可以在沟中形成衬垫,在沟填充之后可以执行致密化步骤,并且在沟填充随后还进行平坦化工艺。场氧化物可以利用所谓的硅的局部氧化工艺而形成。注意到,至少一个隔离区域提供相邻栅区域之间的隔离,典型地当相邻栅具有相反导电性时需要相邻栅区域之间的隔离。相邻栅区域可以具有相同导电性(即两者都为n或p型),或可选择地,它们可以具有不同导电性(即一个为n型而另一个为p型)。
在处理半导体衬底12之后,可选地在半导体衬底12的表面上通过化学氧化形成界面层14。利用本领域技术人员熟知的常规湿化学工艺技术形成可选的界面层14。可选择地,界面层14可以通过热氧化、氮氧化或通过气相沉积而形成。当衬底12是含Si半导体时,界面层14由湿处理生长的化学氧化物,或热生长或沉积的氧化硅、氮氧化硅或氮化氧化硅而构成。当衬底12不是含Si半导体时,界面层14可以包括半导体氧化物、半导体氮氧化物或氮化半导体氧化物,或任何其他界面电介质,例如与半导体材料具有低界面陷阱密度的界面电介质。
界面层14的厚度典型地从约0.4nm至约1.2nm,更典型地从约0.6nm至约1nm的厚度。然而,在CMOS制造期间通常要求的较高温度下处理之后,厚度可能不同。
按照本发明的实施例,界面层14是通过湿化学氧化而形成的具有约0.6nm至约1.0nm厚度的氧化硅层。关于这个湿化学氧化的处理步骤包括在65℃下用氢氧化铵、过氧化氢和水(按1∶1∶5比例)的混合物对清洁过的半导体表面(例如最后HF半导体表面)进行处理。可选择地,也可以在臭氧浓度通常在2ppm(百万分率)至40ppm范围内变化(但不限于这个范围变化)的臭氧化水溶液中,通过对最后HF半导体表面进行处理来形成界面层14。
其次,在结构的表面上,如果存在则在可选界面层14的顶上,或在衬底12的表面的顶上,形成栅电介质16。栅电介质16可以通过热生长工艺,例如氧化、氮化或氮氧化而形成。可选择地,通过沉积工艺,例如化学气相沉积(CVD)、等离子体辅助CVD、金属有机化学气相沉积(MOCVD)、原子层沉积(ALD)、蒸发、反应溅射、化学溶液沉积或其他类似沉积工艺,可以形成栅电介质16。栅电介质16也可以利用以上工艺的任何组合而形成。
栅电介质16包括具有约4.0或更大的介电常数的绝缘材料。这里提及的所有介电常数是相对于真空而言的,除非另有说明。在一个实施例中,栅电介质16包括高k材料。术语“高k”指示具有大于4.0,优选地大于7.0的介电常数的电介质。具体而言,本发明使用的栅电介质16包括但不限于:氧化物、氮化物、氮氧化物和/或包括金属硅酸盐和氮化金属硅酸盐的硅酸盐。在一个实施例中,优选地,栅电介质16包括氧化物,例如SiO2、HfO2、ZrO2、Al2O3、TiO2、La2O3、SrTiO3、LaAlO3、Y2O3、Ga2O3、GdGaO和其混合物。栅电介质16的非常优选的例子包括HfO2、铪硅酸盐和氮氧化铪硅。
栅电介质16的物理厚度可以变化,但是典型地,栅电介质16具有约0.5nm至约10nm的厚度,更典型地为约0.5nm至约3nm的厚度。
在本发明的这里,可以在栅电介质16中引入固定空间分布的电荷密度。这样,在某些实施例中,在栅电介质16的沉积之后,但是在栅电极的沉积之前,引入固定空间分布的电荷密度。
在本发明的一个实施例中,通过在具有不同电荷特性的栅电介质16的顶上形成不同电介质或电介质叠层,能实现固定空间分布的电荷密度。例如,当栅电介质16具有净正电荷时,如应用高k电介质,例如ZrO2、HfO2、Y2O3、TiO2、氮化硅和氮氧化硅的情况,那么可以在栅电介质16的顶上形成至少一个另外的具有净负电荷效应的电介质材料或电介质叠层。具有负电荷的这种电介质包括Al2O3、氮氧化铝或氮化铝。还预期有相反配置,其中栅电介质16包括净负电荷,而其上形成的另一电介质材料或材料叠层具有净正电荷。
利用以上关于栅电介质16所述的相同或不同沉积技术,形成另一电介质材料(或电介质材料叠层)。图1B说明一个包括在栅电介质16上布置的具有相反电荷的另一电介质17的结构。另一电介质17典型地保留在结构中,并且置于栅电介质16与随后在其上形成的栅电极22之间。在某些实施例中,除去另一电介质17,然而电荷之后还保留在栅电介质16内。经由化学相互作用和原子种类扩散,电介质17的沉积工艺在栅电介质16中产生电荷,并且产生的电荷密度取决于除去电介质17之前的沉积条件和退火。
具有与栅电介质16相反电荷的另一电介质17的厚度,可以根据形成另一电介质17所使用的材料数,以及形成另一电介质17所使用的技术而变化。与栅电介质16相反电荷的另一电介质17的厚度典型地约为0.5nm至约4nm。
在本发明的这里对栅电介质16提供固定空间分布的电荷密度的另一种手段是在栅电介质上形成含碱土金属材料或含稀土金属(或类似稀土)材料。这个层20可以直接在栅电介质16的顶上形成,或如果存在,则它可以在其他电介质材料17的顶上形成。图1C表示前种结构,其中标号20指示含碱土金属或含稀土金属材料。含碱土金属材料包括具有分子式MxAy的化合物,其中M是碱土金属(Be、Mg、Ca、Sr和/或Ba),A是O、S或卤化物中之一,以及x是1或2,和y是1、2或3。注意到,本发明考虑了包括碱土金属的混合物和/或阴离子(例如-OCl-2)的混合物的含碱土金属化合物。本发明能使用的含碱土金属化合物的例子包括但不限于:MgO、MgS、MgF2、MgCl2、MgBr2、MgI2、CaO、CaS、CaF2、CaCl2、CaBr2、CaI2、SrO、SrS、SrF2、SrCl2、SrBr2、SrI2、BaO、BaS、BaF2、BaCl2、BaBr2和BaI2。在本发明的一个优选实施例中,含碱土金属化合物包括Mg。MgO是本发明使用的高度优选的含碱土金属材料。
利用常规沉积工艺,例如包括从目标的溅射、在氧等离子体条件之下的碱土金属的反应溅射、电镀、蒸发、分子束沉积、MOCVD、ALD、PVD和其他类似沉积工艺,形成含碱土金属材料。含碱土金属材料典型地具有约0.1nm至约3.0nm的沉积厚度,更典型地具有约0.3nm至约1.6nm的厚度。
当使用含稀土金属层作为层中之一时,含稀土金属层包括元素周期表的IIIB族中至少一个元素的氧化物或氮化物,该IIIB族例如包括La、Ce、Pr、Nd、Pm、Sm、Eu、Ga、Tb、Dy、Ho、Er、Tm、Yb、Lu或其混合物。优选地,含稀土金属层包括La、Ce、Y、Sm、Er和/或Tb的氧化物,以La2O3或LaN最优选。
利用常规沉积工艺,例如包括蒸发、分子束沉积、MOCVD、ALD、PVD和其他类似沉积工艺,形成含稀土金属层。在本发明的一个实施例中,通过将结构置于分子束沉积室的传送室(load-lock)中,随后将这个室抽空到10-5Torr至10-8Torr的范围,来形成含稀土金属层。在这些步骤之后,插入结构,而不破坏生长室中的真空,在生长室中通过将稀土金属和氧或氮的原子/分子束引到结构的表面上,沉积含稀土金属层,例如氧化镧。具体而言,因为室的低压,所以释放的原子/分子种类为束状,并且在到达结构之前不会分散。使用约300℃的衬底温度。在沉积La2O3的情况下,La蒸发单元保持在1400℃至1700℃的温度范围内,并且使用1sccm至3sccm分子氧的流率。可选择地,也可以使用原子或激发氧,并且使氧通过在50Watt至600Watt范围内激发的射频源,能生成这样的原子或激发氧。在这个沉积期间,室内的压力可以在1×10-5Torr(托)至8×10-5Torr的范围内,并且氧化镧生长率可以在每分钟0.1nm至2nm的范围内,更典型地在0.5nm至1.5nm的范围内。
含稀土金属层典型地具有约0.1nm至约3.0nm的厚度,更典型地具有约0.3nm至约1.6nm的厚度。
含碱土金属或稀土金属(或类似稀土)材料20可以保留在结构内,或它可以在沉积之后被除去,但仍然实现在栅电介质16之内的固定分布的电荷密度。固定电荷密度经由工艺损坏、原子扩散和化学反应而引入。
在本发明的又一个实施例中,通过在这里本发明执行的处理步骤,可以在栅电介质16中引入固定分布的电荷密度。可以在栅电介质顶上没有任何其他材料层的情况下执行处理步骤,或可以在栅电介质16的顶上有另一电介质材料17和/或含碱土金属材料或含稀土金属(或类似稀土)材料20的情况下执行处理步骤。
处理步骤包括热、湿化学、气相、等离子体原子、离子注入、沉积或其任何组合,在至少栅电介质16的沉积之后,以任何顺序执行。
当使用沉积作为处理时,在栅电介质16上布置另一电介质材料17或含碱土金属材料或含稀土金属(或类似稀土)材料20。沉积包括上述形成层17或20中任何一个的技术中的任何技术。
当使用热处理时,使结构(有或没有层17和/或20;可能沉积了层17和/或20,但是在热处理之前除去)经受一个加热步骤,在约200℃至约1000℃的温度下,更优选地在400℃至700℃的温度下的惰性环境,例如He、Ar、Ne、N2或其混合物中,执行这个加热步骤。加热步骤包括快速热退火、炉退火、激光退火、尖峰退火或微波退火。加热的持续时间可以根据所使用的确切技术而改变。典型地,当使用除炉退火之外的退火时,加热步骤执行约0.005秒至约1分钟的时段。炉退火可以要求比这里提供的范围更长的退火时间。热处理被认为是通过原子种类的扩散和这些种类与电介质16的反应,而在栅电介质16中引入固定空间分布的电荷密度。
当使用湿化学处理时,使用氧化剂或还原剂。氧化剂的例子包括但不限于:过氧化氢。还原剂的例子包括但不限于:草酸。通过利用本领域技术人员熟知的技术,可以将湿化学处理应用于包括栅电介质16的结构(有或没有层17和/或20)。例如,可以使用浸渍涂布、喷涂、浸入、刷布等,来将化学剂应用于结构。湿化学处理被认为是通过与电介质16的氧化还原反应,而在栅电介质16中引入固定空间分布的电荷密度。
当使用气相处理时,使用至少一种异类原子,例如氧、氢、硫等。使用一个包括异类原子中至少一个的源,并且如果源还不是气体,利用本领域技术人员熟知的技术转换成气体,从而提供至少一种异类原子。在约300℃至约750℃的温度下执行约1分钟至约120分钟时段的气相处理。更优选地,在约400℃至约600℃的温度下执行约2分钟至约30分钟时段的气相处理。可以对一个包括栅电介质16的结构执行气相处理,栅电介质16可以包括或可以不包括层17和/或20。气相处理被认为是通过原子扩散和与电介质16的化学反应,而在栅电介质16中引入固定空间分布的电荷密度。
当使用等离子体处理时,等离子处理包括利用一个适当源,例如分子氢或氧,提供氢、氧、氮或氟的等离子体。等离子体是中性高度电离气体,由中性原子或分子、正离子和自由电子组成。源的电离典型地在一个反应室中执行,其中通过使源经受强DC或AC电磁场来实现电离工艺。可选择地,通过用适当电子源轰击栅原子,执行氢源的电离。根据本发明,在约250℃至约600℃的温度下执行等离子体处理。可以对一个包括栅电介质16的结构执行等离子体处理,栅电介质16可以包括或可以不包括层17和/或20。等离子体处理被认为是通过基种类(radical species)在栅电介质16中引入固定空间分布的电荷密度。
当使用原子处理时,首先提供原子种类,例如原子氧、原子氢、氮、氟等,并且然后引入到至少包括栅电介质16的结构;该结构可以包括或可以不包括层17和/或20。利用如上所述关于等离子体工艺的基本处理步骤,执行原子处理。原子处理被认为是通过原子扩散和/或化学反应,而在栅电介质中引入固定空间分布的电荷密度。
当使用离子注入时,在至少包括栅电介质16的结构中引入一种离子,例如氧、氢或氟,其中栅电介质16可选地存在有层17和/或20。以约1keV至约12keV的能量,更优选地约5keV至约12keV的能量,执行离子注入。利用约1E15原子/cm2至约1E18原子/cm2的离子剂量,更高度优选地约5E15原子/cm2至约1E17原子/cm2的剂量,执行离子注入工艺。离子注入可以在一个步骤中执行,或可以使用多个离子注入步骤。
还考虑了以上处理工艺例如沉积和热处理的组合。可以在其他处理步骤之前除去沉积层,或可以在其他处理期间使沉积层保留在结构中。
以上处理步骤可以重复所希望或准许的任何次数,以使栅电介质16达到固定空间分布的电荷密度。
其次在图1A、图1B或图1C所示的上暴露表面层上形成栅电极22。在本发明工艺的这里,栅电介质16可以具有或可以不具有固定空间分布的电荷密度。图1D表示在包括层17或20的结构的顶上,通过沉积栅电极22而形成的结果结构。虽然示出了这样结构,但是层17或20是可选的,并且可以不存在,而且可以同样地在栅电介质16上形成栅电极22。栅电极22由导电材料构成,例如包括多晶Si、SiGe、金属、金属合金、金属硅化物、金属氮化物、金属碳化物或包括其多层的组合。当存在多层时,可以在各导电层之间安置扩散阻挡层(未示出),例如TiN或TaN。可以在栅电极的顶上布置帽层(也未示出),例如氧化物或氮化物;帽层的存在可以用来防止随后在所述栅电极上形成硅化物接触。当栅电极包括含Si材料并且不存在帽层时,通常形成所述栅电极上的硅化物接触。
利用常规沉积工艺,例如包括化学气相沉积、等离子体增强化学气相沉积、原子层沉积、溅射、电镀、蒸发和任何其他类似沉积工艺,形成栅电极22。在其中将多晶Si或SiGe用作栅电极的实施例中,可以使用原位沉积工艺,或可选择地,可以使用沉积随后进行离子注入。
栅电极22的厚度对本发明并不是关键的。然而,典型地,栅电极的厚度为约1nm至约50nm。
在本发明的这里,并且如果先前未实行,则可以在栅电介质16中引入固定空间电荷密度。当在其上布置有栅电极22的栅电介质16中引入固定空间电荷密度时,可以执行以上处理步骤中之一。在处理之中,当在结构上形成栅电极22时,优选地使用包括化学处理的那些处理。注意到,只要在对硅化物接触施加电压或电流之前引入了固定电荷,就能在形成栅电极22之后的任何时间发生固定空间分布的电荷密度。
在沉积栅电极22之后,如图1E所示,在至少将栅电极22以及典型地栅电介质16构图为至少一个栅叠层23时,使用光刻和蚀刻。虽然图1E所示的结构包括可选层17或20,但是该层在本发明的这里可以不存在。
光刻步骤包括在栅电极22的表面上涂覆常规光致抗蚀剂(未示出),使光致抗蚀剂暴露成辐射的希望图案,并且利用常规抗蚀剂显影剂使暴露的抗蚀剂显影。蚀刻步骤包括干蚀刻(例如反应离子蚀刻、等离子体蚀刻、离子束蚀刻或激光烧蚀)、湿化学蚀刻或所述蚀刻工艺的任何组合。
然后典型地利用沉积和蚀刻在至少一个栅叠层23的暴露侧壁上形成至少一个隔离件26。可选存在的至少一个隔离件26典型地由氧化物、氮化物或包括其组合和多层的氮氧化物构成。虽然为可选的,但是典型地在本发明的结构中存在一个隔离件26。在某些实施例中,在形成至少一个隔离件之前,可以利用本领域技术人员熟知的常规技术形成钝化层(未示出)。当存在时,钝化层典型地由氧化物、氮化物或氮氧化物构成。
在本发明的这里,通过利用常规离子注入和激活退火,在衬底12中形成S/D区域28。S/D区域28典型地包括扩展区域和深S/D扩散区域。源极/漏极区域28和栅电极22一起限定FET的沟道的长度。注意到,S/D扩展和S/D扩散区域由半导体衬底12的上部分构成,它通过离子注入而掺杂有n或p型掺杂剂。S/D扩展的深度通常比S/D扩散区域浅。
其次,典型地利用常规硅化工艺至少在S/D区域28上形成硅化物接触30。当栅电极22由多晶Si或SiGe构成时,硅化物接触也可以在栅电极22的顶上形成。
硅化工艺包括在结构的顶上形成金属或金属合金,它能够与硅起反应,以在结构上形成硅化物,并且然后执行至少一个硅化物退火步骤。在某些实施例中,使用第一和第二退火步骤。在形成金属层之前可以形成可选的含Si层,并且可以在金属层的顶上形成可选的扩散阻挡层,它在第一退火之后被从结构上除去。用于形成硅化物接触的金属包括Co、Ti、Ni、Pt、W或其合金之一,合金可以包括合金添加剂。
图1F表示包括至少一个隔离件26、S/D区域28和硅化物接触30的结果结构。在本发明的这里,可以使用常规互连技术,以对图1F所示结构提供一个或多个互连级。一个或多个互连级基本上包括在其中形成有导电特征(衬垫(line)、过孔或过孔和衬垫)的电介质材料。
如果先前没有实行,在本发明的这里通过对硅化物接触30施加电压或电流,可以在栅电介质16中形成固定空间分布的电荷密度。利用本领域技术人员熟知的技术,施加电压或电流。
已经确定,通过使在栅电介质16中具有固定空间分布的电荷密度,就阈电压和平带电压而言,可以实现稳定化的栅叠层结构。特别地,当对包括固定空间分布的电荷密度的本发明的栅叠层结构施加偏压时,固定电荷的存在使结构的阈电压和平带电压稳定在nFET和pFET器件相关的正常范围之内的一个目标值。
图2表示本发明的结构,包括至少一个nFET 50和至少一个pFET52,位于半导体衬底12的表面上。每个FET都包括栅叠层,该栅叠层自底到顶包括栅电介质16和栅电极22,其中所述至少一个nFET的所述栅电介质包含第一固定空间分布的电荷密度,并且所述至少一个pFET的所述栅电介质包括与所述第一固定空间分布的电荷密度不同的第二固定空间分布的电荷密度,其中第一和第二固定空间电荷密度的每一个都使其FET的阈电压和平带电压稳定在目标值。各FET的栅电介质16和栅电极22可以是相同或不同材料。
虽然已经参考其优选实施例特别地表示和描述了本发明,但是本领域技术人员将会理解,在不违反本发明的范围和精神的情况下,可以在形式和细节上实现前述和其他各种变化。因此本发明并不旨在限于描述和说明的准确形式和细节,而是落入所附权利要求书的范围之内。

Claims (20)

1.一种半导体结构,包括:
至少一个栅叠层,布置在半导体衬底上,所述栅叠层自底到顶包括栅电介质和栅电极,其中所述栅电介质包含固定空间分布的电荷密度,其使所述栅叠层的阈电压和平带电压稳定在目标值。
2.根据权利要求1的半导体结构,其中所述半导体衬底包括Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP、其他III/V或II/VI族化合物半导体、有机半导体或分层半导体。
3.根据权利要求1的半导体结构,其中所述半导体衬底是包括不同晶体取向的表面区域的混合衬底。
4.根据权利要求1的半导体结构,还包括界面绝缘层,位于所述半导体衬底与所述栅电介质之间。
5.根据权利要求1的半导体结构,其中所述至少一个栅叠层是nFET。
6.根据权利要求1的半导体结构,其中所述至少一个栅叠层是pFET。
7.根据权利要求1的半导体结构,其中所述固定空间分布的电荷密度为约1×1012cm-2至约1×1013cm-2
8.一种半导体结构,包括:
至少一个nFET和至少一个pFET,位于半导体衬底上,每个FET都包括栅叠层,所述栅叠层自底到顶包括栅电介质和栅电极,其中所述至少一个nFET的所述栅电介质包含第一固定空间分布的电荷密度,并且所述至少一个pFET的所述栅电介质包括与所述第一固定空间分布的电荷密度不同的第二固定空间分布的电荷密度,其中所述第一和第二固定空间电荷密度的每一个均使其FET的阈电压和平带电压稳定在目标值。
9.根据权利要求8的半导体结构,其中所述半导体衬底包括Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP、其他III/V或II/VI族化合物半导体、有机半导体或分层半导体。
10.根据权利要求8的半导体结构,其中所述半导体衬底是包括不同晶体取向的表面区域的混合衬底,其中所述至少一个nFET位于(100)表面上,并且其中所述至少一个pFET位于(110)表面上。
11.根据权利要求8的半导体结构,其中所述第一固定空间分布的电荷密度为约1×1012cm-2至约1×1013cm-2,并且所述第二固定空间分布的电荷密度为约1×1013cm-2至约5×1013cm-2
12.一种形成半导体结构的方法,包括:
在半导体衬底上设置栅叠层,所述栅叠层自底到顶包括栅电介质和栅电极;以及
对所述栅叠层施加偏压,其中所述栅电介质包含固定空间分布的电荷密度,其使所述栅叠层的阈电压和平带电压稳定在目标值。
13.根据权利要求12的方法,其中在所述栅电介质的沉积期间或之后,但是在所述栅电极的沉积之前,引入所述固定空间分布的电荷密度。
14.根据权利要求12的方法,其中在所述栅电极的沉积期间或之后,但是在对接触区域施加电压或电流之前,引入所述固定空间分布的电荷密度。
15.根据权利要求12的方法,其中通过对接触区域施加电压或电流,引入所述固定空间分布的电荷密度。
16.根据权利要求12的方法,其中通过选自包括热、湿、气相、等离子体、原子、离子注入、沉积和其组合的组中的处理工艺,引入所述固定空间分布的电荷密度。
17.根据权利要求12的方法,其中通过在所述栅电介质上沉积一个或多个层,对所述一个或多个层进行处理,并且除去所述一个或多个层,来引入所述固定空间分布的电荷密度。
18.根据权利要求12的方法,其中通过在所述栅电极上沉积一个或多个层,对所述一个或多个层进行处理,并且除去所述一个或多个层,来引入所述固定空间分布的电荷密度。
19.根据权利要求12的方法,其中在沉积所述栅电极之后,通过执行选自包括热、湿、气相、等离子体、原子、离子注入、沉积和其组合的组中的处理工艺,来引入所述固定空间分布的电荷密度。
20.根据权利要求12的方法,其中形成多个栅叠层,其中第一组栅叠层具有第一导电性,并且第二组栅叠层具有与所述第一导电性不同的第二导电性,其中所述第一组之内的每个栅叠层具有第一固定空间分布的电荷密度,并且所述第二组之内的每个栅叠层具有与所述第一固定空间分布的电荷密度不同的第二固定空间分布的电荷密度。
CNA2007101268173A 2006-06-29 2007-06-27 具有栅叠层的半导体结构和制造这种半导体结构的方法 Pending CN101097949A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/477,707 US20080017936A1 (en) 2006-06-29 2006-06-29 Semiconductor device structures (gate stacks) with charge compositions
US11/477,707 2006-06-29

Publications (1)

Publication Number Publication Date
CN101097949A true CN101097949A (zh) 2008-01-02

Family

ID=38970641

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101268173A Pending CN101097949A (zh) 2006-06-29 2007-06-27 具有栅叠层的半导体结构和制造这种半导体结构的方法

Country Status (2)

Country Link
US (1) US20080017936A1 (zh)
CN (1) CN101097949A (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101950757A (zh) * 2010-07-13 2011-01-19 中国科学院上海微系统与信息技术研究所 基于soi衬底的高介电常数材料栅结构及其制备方法
CN102064111A (zh) * 2010-12-06 2011-05-18 复旦大学 一种利用等离子体释放费米能级钉扎的方法
CN102468273A (zh) * 2010-11-11 2012-05-23 北大方正集团有限公司 一种测量电荷的装置及方法
CN103681276A (zh) * 2012-09-18 2014-03-26 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
CN103930973A (zh) * 2011-06-27 2014-07-16 科锐 用于制造沟道迁移率增强的半导体器件的湿法化学法
CN104218025B (zh) * 2013-05-30 2017-04-12 国际商业机器公司 半导体结构及其形成方法
CN110854076A (zh) * 2019-11-15 2020-02-28 西安微电子技术研究所 一种提高栅氧可靠性和抗辐射特性的HTO/SiO2复合栅CMOS器件及工艺
CN113667962A (zh) * 2021-08-11 2021-11-19 江苏微导纳米科技股份有限公司 复合膜及其制备方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050863A1 (en) * 2006-08-28 2008-02-28 International Business Machines Corporation Semiconductor structure including multiple stressed layers
JP2009224509A (ja) * 2008-03-14 2009-10-01 Panasonic Corp 半導体装置及びその製造方法
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
GB2464741B (en) * 2008-10-27 2013-07-31 Pragmatic Printing Ltd FETs, semiconductor devices and their methods of manufacture
KR101049875B1 (ko) * 2008-11-18 2011-07-19 주식회사 동부하이텍 반도체 소자 및 그의 제조 방법
JP2010177240A (ja) * 2009-01-27 2010-08-12 Toshiba Corp 半導体装置及びその製造方法
US7943460B2 (en) 2009-04-20 2011-05-17 International Business Machines Corporation High-K metal gate CMOS
US8841682B2 (en) 2009-08-27 2014-09-23 Cree, Inc. Transistors with a gate insulation layer having a channel depleting interfacial charge and related fabrication methods
US20110147764A1 (en) 2009-08-27 2011-06-23 Cree, Inc. Transistors with a dielectric channel depletion layer and related fabrication methods
DE102009039418B4 (de) * 2009-08-31 2013-08-22 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Einstellung der Austrittsarbeit in Gate-Stapeln mit großem ε, die Gatedielektrika mit unterschiedlicher Dicke enthalten
US9263276B2 (en) 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
JP2012204591A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 膜形成方法および不揮発性記憶装置
JP5373853B2 (ja) * 2011-05-30 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8809152B2 (en) 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US20130277766A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Multiple high-k metal gate stacks in a field effect transistor
CN105355555A (zh) * 2015-10-28 2016-02-24 中国科学院微电子研究所 一种GaN基增强型功率电子器件及其制备方法
KR102553260B1 (ko) 2016-08-03 2023-07-07 삼성전자 주식회사 집적회로 소자 및 그 제조 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395624B1 (en) * 1999-02-22 2002-05-28 International Business Machines Corporation Method for forming implants in semiconductor fabrication
US20030141560A1 (en) * 2002-01-25 2003-07-31 Shi-Chung Sun Incorporating TCS-SiN barrier layer in dual gate CMOS devices
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
DE102004001340A1 (de) * 2004-01-08 2005-08-04 Infineon Technologies Ag Verfahren zum Herstellen eines Nanoelement-Feldeffektransistors, Nanoelement-Feldeffekttransistor und Nanoelement-Anordnung
US20050202659A1 (en) * 2004-03-12 2005-09-15 Infineon Technologies North America Corp. Ion implantation of high-k materials in semiconductor devices
KR100594266B1 (ko) * 2004-03-17 2006-06-30 삼성전자주식회사 소노스 타입 메모리 소자
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US7202503B2 (en) * 2004-06-30 2007-04-10 Intel Corporation III-V and II-VI compounds as template materials for growing germanium containing film on silicon
US7378286B2 (en) * 2004-08-20 2008-05-27 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor
US7242055B2 (en) * 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US7446380B2 (en) * 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US7432567B2 (en) * 2005-12-28 2008-10-07 International Business Machines Corporation Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7833849B2 (en) * 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101950757A (zh) * 2010-07-13 2011-01-19 中国科学院上海微系统与信息技术研究所 基于soi衬底的高介电常数材料栅结构及其制备方法
CN102468273A (zh) * 2010-11-11 2012-05-23 北大方正集团有限公司 一种测量电荷的装置及方法
CN102064111A (zh) * 2010-12-06 2011-05-18 复旦大学 一种利用等离子体释放费米能级钉扎的方法
CN103930973A (zh) * 2011-06-27 2014-07-16 科锐 用于制造沟道迁移率增强的半导体器件的湿法化学法
CN103681276A (zh) * 2012-09-18 2014-03-26 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
CN104218025B (zh) * 2013-05-30 2017-04-12 国际商业机器公司 半导体结构及其形成方法
CN110854076A (zh) * 2019-11-15 2020-02-28 西安微电子技术研究所 一种提高栅氧可靠性和抗辐射特性的HTO/SiO2复合栅CMOS器件及工艺
CN110854076B (zh) * 2019-11-15 2022-05-31 西安微电子技术研究所 一种提高栅氧可靠性和抗辐射特性的HTO/SiO2复合栅CMOS器件及工艺
CN113667962A (zh) * 2021-08-11 2021-11-19 江苏微导纳米科技股份有限公司 复合膜及其制备方法

Also Published As

Publication number Publication date
US20080017936A1 (en) 2008-01-24

Similar Documents

Publication Publication Date Title
CN101097949A (zh) 具有栅叠层的半导体结构和制造这种半导体结构的方法
US7820552B2 (en) Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US7709902B2 (en) Metal gate CMOS with at least a single gate metal and dual gate dielectrics
CN101427386B (zh) 阻挡层的选择性实施以实现在具有高k电介质的CMOS器件制造中的阈值电压控制
US7833849B2 (en) Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US7855105B1 (en) Planar and non-planar CMOS devices with multiple tuned threshold voltages
CN1992274B (zh) 高性能cmos电路及其制造方法
US8309447B2 (en) Method for integrating multiple threshold voltage devices for CMOS
US7872317B2 (en) Dual metal gate self-aligned integration
CN101887916B (zh) 不对称半导体器件及其制造方法
US8105892B2 (en) Thermal dual gate oxide device integration
US20060289948A1 (en) Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
CN1697181A (zh) 互补金属-氧化物-半导体结构及其制作方法
US8557652B2 (en) Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
US7943458B2 (en) Methods for obtaining gate stacks with tunable threshold voltage and scaling
US20120018813A1 (en) BARRIER COAT FOR ELIMINATION OF RESIST RESIDUES ON HIGH k/METAL GATE STACKS
JP2005064317A (ja) 半導体装置
US8541275B2 (en) Single metal gate CMOS integration by intermixing polarity specific capping layers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication