CN100477131C - 形成半导体装置的方法 - Google Patents

形成半导体装置的方法 Download PDF

Info

Publication number
CN100477131C
CN100477131C CNB2006100938065A CN200610093806A CN100477131C CN 100477131 C CN100477131 C CN 100477131C CN B2006100938065 A CNB2006100938065 A CN B2006100938065A CN 200610093806 A CN200610093806 A CN 200610093806A CN 100477131 C CN100477131 C CN 100477131C
Authority
CN
China
Prior art keywords
substrate
semiconductor device
layer
silicon
silicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2006100938065A
Other languages
English (en)
Other versions
CN101022084A (zh
Inventor
陈豪育
郑水明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101022084A publication Critical patent/CN101022084A/zh
Application granted granted Critical
Publication of CN100477131C publication Critical patent/CN100477131C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

一种形成半导体装置的方法,包括以下步骤:在一基板上形成一栅极;在该栅极对应侧的基板内形成多个凹口;在该基板的所述凹口内形成一扩散阻障层;在所述凹口内通过外延生长形成一硅层;以及在该硅层上沉积一半导体合金以形成源极/漏极区。本发明提供了具有设置在源极/漏极区内的半导体合金,并可降低或避免掺杂物质扩散进入沟道区的情况发生。

Description

形成半导体装置的方法
技术领域
本发明总的涉及半导体装置,特别是关于金属氧化物半导体晶体管及其制造方法。
背景技术
金属氧化物半导体场效应晶体管(MOSFET)的尺寸缩减包括了栅极长度与栅极氧化物厚度的减少,因而改善了过去数十年来单一功能集成电路装置的速度、性能、密度与成本。为了进一步增进晶体管的性能,MOSFET装置的制作已在部分半导体基板内采用了应变沟道区(strained channelregions)。应变沟道区改善了载流子移动率,进而改善了n沟道装置(NMOS)或p沟道装置(PMOS)的性能。一般对于NMOS晶体管而言,最好在其源极至漏极的方向产生拉伸应变(tensile strain)以增加电子迁移率(electronmobility),而对于PMOS晶体管而言,则最好在其源极至漏极方向产生压缩应变(compressive strain)以增加空穴迁移率(hole mobility)。目前已有数种在晶体管沟道区处诱发应变的方法。
其中方法之一为通过在源极/漏极区(source/drain regions)中形成例如硅锗(silicon-germanium)或硅锗碳(silicon-germanium-carbon)的半导体合金膜层,该半导体合金膜层具有异于基板的晶格常数。如此不同的晶格常数结构在沟道区中产生了应变,因而增加载流子迁移率。
上述半导体合金层通常为经临场掺杂(in-situ doped)的磊晶层,其具有低电阻值且诱发了沟道区内应变。然而,其所掺杂的掺杂物质在磊晶热过程时将有可能扩散至沟道区中,进而劣化了半导体装置的短沟道效应特性。再者,在PMOS装置中通过采用氢来改善磊晶质量。然而,氢的使用也劣化了负偏压温度稳定度(negative bias temperature instability,NBTI)。
因此,需要一种半导体装置及其制造方法,其具有设置在源极/漏极区内的半导体合金,并可降低或避免掺杂物质扩散进入沟道区的情况发生。
发明内容
有鉴于此,本发明提供了形成半导体装置的方法。
在一实施例中,本发明的形成半导体装置的方法,包括以下步骤:
在一基板上形成一栅极;在该栅极对应侧的基板内形成多个凹口;在该基板的所述凹口内形成一扩散阻障层;在所述凹口内通过外延生长形成一硅层;以及在该硅层上沉积一半导体合金,以形成源极/漏极区。
如本发明所述的形成半导体装置的方法,其中所述凹口具有大于50纳米的深度。
如本发明所述的形成半导体装置的方法,其中所述凹口具有大于10纳米的宽度。
如本发明所述的形成半导体装置的方法,其中该扩散阻障层包括一富氟硅层、一富碳硅层、一富氮硅层或其组合。
如本发明所述的形成半导体装置的方法,其中该富氟硅层具有介于1-10纳米的厚度。
在另一实施例中,本发明的形成半导体装置的方法,包括以下步骤:
在一基板上形成一栅极;凹蚀该基板,以形成邻近该栅极的多个源极/漏极区;在所述源极/漏极区内形成一阻障层;在所述源极/漏极区内通过外延生长形成一硅层;以及在该硅层上形成一半导体合金。
如本发明所述的形成半导体装置的方法,其中该凹蚀该基板包括凹蚀所述源极/漏极区内的基板达到大于50纳米的深度的步骤。
如本发明所述的形成半导体装置的方法,其中该凹蚀该基板包括凹蚀所述源极/漏极区内的基板达到大于10纳米的宽度的步骤。
如本发明所述的形成半导体装置的方法,其中形成该阻障层包括掺杂氟、碳与氮离子在所述凹口的基底内的步骤。
如本发明所述的形成半导体装置的方法,其中该阻障层具有介于1-10纳米的厚度。
在再一实施例中,本发明的形成半导体装置的方法,包括以下步骤:
提供一基板;在该基板上形成一栅极;在该栅极的对应侧形成间隔物;凹蚀邻近所述间隔物的基板,进而形成多个凹口;在所述凹口内形成一阻障层;在所述凹口内通过外延生长形成一硅层;以及在该硅层上形成一第二半导体层,该第二半导体层具有异于该硅层的一晶格常数。
如本发明所述的形成半导体装置的方法,其中形成该阻障层包括掺杂氟、碳与氮离子在所述凹口的基底内的步骤。
如本发明所述的形成半导体装置的方法,其中形成该第二半导体层包括沉积硅锗、硅碳或硅碳锗的步骤。
本发明提供了具有设置在源极/漏极区内的半导体合金,并可降低或避免掺杂物质扩散进入沟道区的情况发生。为了让本发明的上述和其它目的、特征、和优点能更明显易懂,下文特举一较佳实施例,并配合所附图示,作详细说明如下:
附图说明
图1-6分别显示了依据本发明之一实施例的具有扩散阻障层的MOSFET在制作中的不同过程步骤情况。
其中,附图标记说明如下:
110  基板;
112  栅极介电层;
114  栅极;
116  掩模层;
118  浅沟槽隔离物;
210  牺牲衬层;
212  牺牲间隔物;
310  凹口;
410  阻障层;
510  第一半导体层;
610  第二半导体层。
具体实施方式
图1-6分别图示了依据本发明之一实施例的具有扩散阻障层的半导体装置的制作情况。在此图标的实施例适用于多种电路的制作。具体的,本发明的实施例特别适用于65纳米以下的晶体管设计,其中的掺杂物质穿透进入基板的情况特别地严重。其所使用的扩散阻障层有助于改善装置的可靠性。
请参照图1,其中显示了依据本发明一实施例在其上的基板110设置栅极介电层112与栅极114。在一实施例中,基板110包括一P型块状硅基底(bulk silicon substrate)。基板110也可采用其它材料,例如是锗、硅锗合金或相似物。基板110也可为一绝缘层上覆硅基板(SOI)或为一多层结构上的一有源层,例如为形成在块状硅层上的一硅锗层。一般而言,绝缘层上覆硅基板包括一半导体层,例如形成一绝缘层上的硅层。上述绝缘层则例如为埋入氧化层(BOX)或二氧化硅层。绝缘层通常是形成于如硅基板或玻璃基板的一基板上。其它基板则例如为一多膜层基板或一梯度基板(gradientsubstrate)。在基板内还可设置有P阱及/或N阱,借以进一步分别隔离NMOS装置与PMOS装置。
栅极介电层112与栅极114可通过在基板110上的沉积与图案化一介电层与一导电层所形成。介电层较佳地包括如二氧化硅、氮氧化硅、氮化硅、含氮的氧化物、高介电常数金属氧化物、上述材料的组成或相似物等介电材料。二氧化硅材料的介电层可通过如湿式或干式热氧化的氧化过程所形成,或通过如低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)或原子层化学气相沉积(ALCVD)等化学气相沉积(CVD)方式所形成。
导电层包括导电材料,例如金属(如钽、钛、钼、钨、铂、铝、铪、炉)、金属硅化物(如硅化钛、硅化钴、硅化镍、硅化钽)、金属氮化物(如氮化钛、氮化钽)、经掺杂的多晶硅、其它导电材料或上述材料的组合物。在一范例中,可先行沉积一非晶硅并重新结晶而制作出多晶硅。在较佳实施例中,栅极114为多晶硅材料且可通过低压化学气相沉积(LPCVD)形成经掺杂或未经掺杂的多晶硅。接着多晶硅可掺杂N型或P型掺杂物质以分别形成一NMOS装置或一PMOS装置。
栅极介电层112与栅极114可通过已知光刻技术图案化而形成。光刻通常包括沉积抗蚀剂材料,接着掩蔽、曝光并显影此抗蚀剂材料。在抗蚀剂图案化后,可通过施行非等向性蚀刻过程移除不需要的介电层与导电层部分,而分别形成如图1所示的栅极介电层112与栅极114。
在栅极114上可进一步选择地形成一掩模层116,以保护下方的栅极材料免于在蚀刻过程中被移除。适当的掩模层116包括氧化物层与氮化物层。在一实施例中,氧化物层包括氮氧化硅而氮化物层包括氮化硅。其也可采用其它材料。
在基板110内可形成有浅沟槽隔离物118或如场氧化物区的其它隔离结构,以隔离基板上的有源区。浅沟槽隔离物118可通过蚀刻基板110在其内形成沟槽,并在沟槽中填入如二氧化硅、高密度等离子体氧化物或相似物的介电材料。
图2则图示了基板110在邻近在栅极114的侧壁上形成有牺牲衬层210与牺牲间隔物212的情况。牺牲衬层210较佳地为一氧化物层,其通过如采用四乙氧基硅烷(TEOS)与氧气作为反应物的化学气相沉积技术而形成。牺牲间隔物212较佳地包括氮化硅(Si3N4)或其它含氮膜层,例如氮化硅(SixNy)、氮氧化硅、硅的含氮化合物(silicon oxime)或上述材料的组合。在一较佳实施例中,牺牲间隔物212采用利用硅甲烷与氨气为反应物化学气相沉积形成的氮化硅(Si3N4)的膜层,其沉积温度约介于400-600℃。在一实施例中,牺牲衬层210与牺牲间隔物212的结合厚度大于约30埃。
值得注意的,牺牲衬层210与牺牲间隔物212可能包括不同材料。然而,用于牺牲衬层210与牺牲间隔物212的材料间需具有选择性,从而使得牺牲衬层210与牺牲间隔物212的材料间具有高蚀刻选择比。
图3图示了依据本发明之一实施例中,在基底110内形成数个凹口310后的情况。所述凹口310可通过非等向性或等向性的蚀刻过程而形成,其较佳地通过非等向性蚀刻而形成,且较佳地为一干蚀刻过程。在一实施例中,凹口的深度大于约50纳米。如图3所示,所述凹口310较佳地横向延伸至部分的牺牲间隔物212的下方约大于10纳米的一距离。
图4图示了依据本发明一实施例中当图3所示的基板110在沿着凹口310表面形成有阻障层410的情况。在一实施例中,阻障层410通过注入如氟离子的离子而形成。可发现通过注入氟离子在凹口310表面后,在形成应变诱发层(在下文中讨论并参照图6)时可避免或减少氢原子的穿透情况,进而改善了晶体管的NBTI特性。再者,氟离子也有助于抑制掺杂物质扩散进入源极/漏极区,因而可降低短沟道区的漏极引起阻障降低(DIBL)劣化的情况。在一实施例中,上述注入过程包括在剂量介于1E13~1E15原子/每平方厘米与能量介于0.3~2KeV的条件下注入氟离子。此外,也可采用如碳、氮或其组合或相似物等的其它离子以及如离子等离子体注入、气体回火、低压注入或相似的其它过程。在此,阻障层114的厚度较佳地介于1~10纳米。
图5图示了依据本发明之一实施例中,在图4中所示的基板110在沿着凹口310选择性地形成第一半导体层510后的情况。在一实施例中,第一半导体层510由相似于基底110的半导体材料所形成,例如为硅材料。如下文中的描述,凹口310较佳地为一应变诱发层所填入,且可发现到形成于阻障层410上的硅层在后续步骤中当应变诱发层形成时,可通过避免或降低阻障层410的扩散进入应变诱发层因而有助于避免应变的松弛。
如此,当基板110由硅材料所制成时,第一半导体层510可为利用基板110作为籽晶层而选择性形成的磊晶层。第一半导体510的厚度较佳地约为1-10纳米。
或者,在形成第一半导体层510之前还可施行一回火过程(例如为快速热回火(RTA)或炉管热回火)。在一实施例中,可在约700-1020℃的温度下通过施行快速热回火约0秒至1分钟来修复凹口310内的基板110表面,进而制造出用于形成第一半导体层510的一平滑表面。由于形成在如此的平滑表面上,第一半导体层510可较为均匀并具有较少的缺陷。
图6则图示了依据本发明之一实施例中,当图5中基板110在源极/漏极区形成有一第二半导体层610的情况。较佳地,第二半导体层610为用于诱发在晶体管沟道区处应变的一应变诱发层,借以改善晶体管的性能。如已知所述,经应变的沟道区有助于改善载流子迁移率,且进而改善了PMOS与NMOS装置的性能。通常,对于NMOS晶体管而言,较佳地在其源极至漏极的方向产生拉伸应变(tensile strain)以增加电子迁移率(electron mobility),而对于PMOS晶体管而言,则较佳地在其源极至漏极方向产生压缩应变(compressive strain)以增加空穴迁移率(hole mobility)。
如此,对PMOS装置而言,第二半导体层610可为一硅锗合金层。较佳地,该硅锗合金层通过如超高压化学气相沉积或分子束磊晶而通过外延生长形成的一大体单晶层。对于一NMOS装置而言,第二半导体层610可为通过外延生长形成的硅碳或硅锗碳膜层。其也可使用其它材料。
第二半导体层610的厚度较佳地具有介于400-900埃且可高出于基板110的表面,例如高出源极/漏极的表面。
如此,接着可采用标准制造技术以完成PMOS或NMOS晶体管的制作。举例来说,可接着移除牺牲衬层210与牺牲间隔物212,并通过掺杂N型或P型离子而形成源极/漏极区,并接着金属硅化接触区域进行后续过程。
虽然本发明已以较佳实施例公开如上,但其并非用以限定本发明,任何本领域的技术人员,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视后附的权利要求书所界定的为准。

Claims (13)

1.一种形成半导体装置的方法,包括下列步骤:
在一基板上形成一栅极;
在该栅极对应侧的基板内形成多个凹口;
在该基板的所述凹口内形成一扩散阻障层;
在所述凹口内通过外延生长形成一硅层;以及
在该硅层上沉积一半导体合金,以形成源极/漏极区。
2.如权利要求1所述的形成半导体装置的方法,其特征在于所述凹口具有大于50纳米的深度。
3.如权利要求1所述的形成半导体装置的方法,其特征在于所述凹口具有大于10纳米的宽度。
4.如权利要求1所述的形成半导体装置的方法,其特征在于该扩散阻障层包括一富氟硅层、一富碳硅层、一富氮硅层或其组合。
5.如权利要求4所述的形成半导体装置的方法,其特征在于该富氟硅层具有介于1-10纳米的厚度。
6.一种形成半导体装置的方法,包括下列步骤:
在一基板上形成一栅极;
凹蚀该基板,以形成邻近该栅极的多个源极/漏极区;
在所述源极/漏极区内形成一阻障层;
在所述源极/漏极区内通过外延生长形成一硅层;以及
在该硅层上形成一半导体合金。
7.如权利要求6所述的形成半导体装置的方法,其特征在于该凹蚀该基板包括凹蚀所述源极/漏极区内的基板达到大于50纳米的深度的步骤。
8.如权利要求6所述的形成半导体装置的方法,其特征在于该凹蚀该基板包括凹蚀所述源极/漏极区内的基板达到大于10纳米的宽度的步骤。
9.如权利要求6所述的形成半导体装置的方法,其特征在于形成该阻障层包括掺杂氟、碳与氮离子在所述凹口的基底内的步骤。
10.如权利要求6所述的形成半导体装置的方法,其特征在于该阻障层具有介于1-10纳米的厚度。
11.一种形成半导体装置的方法,包括下列步骤:
提供一基板;
在该基板上形成一栅极;
在该栅极的对应侧形成间隔物;
凹蚀邻近所述间隔物的基板,进而形成多个凹口;
在所述凹口内形成一阻障层;
在所述凹口内通过外延生长形成一硅层;以及
在该硅层上形成一第二半导体层,该第二半导体层具有异于该硅层的一晶格常数。
12.如权利要求11所述的形成半导体装置的方法,其特征在于形成该阻障层包括掺杂氟、碳与氮离子在所述凹口的基底内的步骤。
13.如权利要求11所述的形成半导体装置的方法,其特征在于形成该第二半导体层包括沉积硅锗、硅碳或硅碳锗的步骤。
CNB2006100938065A 2006-02-14 2006-06-19 形成半导体装置的方法 Active CN100477131C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/353,309 US7608515B2 (en) 2006-02-14 2006-02-14 Diffusion layer for stressed semiconductor devices
US11/353,309 2006-02-14

Publications (2)

Publication Number Publication Date
CN101022084A CN101022084A (zh) 2007-08-22
CN100477131C true CN100477131C (zh) 2009-04-08

Family

ID=38369148

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100938065A Active CN100477131C (zh) 2006-02-14 2006-06-19 形成半导体装置的方法

Country Status (3)

Country Link
US (1) US7608515B2 (zh)
CN (1) CN100477131C (zh)
TW (1) TWI306632B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012068797A1 (zh) * 2010-11-22 2012-05-31 中国科学院微电子研究所 一种半导体器件及其形成方法
CN105374684A (zh) * 2014-08-30 2016-03-02 中芯国际集成电路制造(上海)有限公司 Pmos结构及其形成方法

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
DE102006030268B4 (de) * 2006-06-30 2008-12-18 Advanced Micro Devices Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, insbesondere eines FETs
US7943469B2 (en) * 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7927989B2 (en) * 2007-07-27 2011-04-19 Freescale Semiconductor, Inc. Method for forming a transistor having gate dielectric protection and structure
KR100971414B1 (ko) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
US7838887B2 (en) * 2008-04-30 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US20100012988A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having implanted carbon diffusion retardation layers and methods for fabricating the same
DE102008035806B4 (de) * 2008-07-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren für ein Halbleiterbauelement bzw. einen Transistor mit eingebettetem Si/GE-Material mit einem verbesserten Boreinschluss sowie Transistor
KR101592505B1 (ko) * 2009-02-16 2016-02-05 삼성전자주식회사 반도체 메모리 소자 및 이의 제조 방법
KR101050405B1 (ko) * 2009-07-03 2011-07-19 주식회사 하이닉스반도체 스트레인드채널을 갖는 반도체장치 제조 방법
KR101097469B1 (ko) * 2009-07-31 2011-12-23 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
DE102010028466B4 (de) * 2010-04-30 2012-02-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bewahren der Integrität eines Gatestapels mit großem ε nach Einbettung in ein Verspannungsmaterial unter Anwendung einer Beschichtung
US8404539B2 (en) * 2010-07-08 2013-03-26 International Business Machines Corporation Self-aligned contacts in carbon devices
US8183118B2 (en) * 2010-08-26 2012-05-22 United Microelectronics Corp. Method for fabricating MOS transistor
KR20120038195A (ko) * 2010-10-13 2012-04-23 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN102479711B (zh) * 2010-11-25 2014-09-03 中芯国际集成电路制造(北京)有限公司 Pmos晶体管的形成方法
CN102543736B (zh) * 2010-12-15 2014-10-01 中芯国际集成电路制造(上海)有限公司 半导体器件结构及其制作方法
KR20120133652A (ko) * 2011-05-31 2012-12-11 삼성전자주식회사 반도체 소자의 제조 방법
US8884341B2 (en) * 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US8659089B2 (en) * 2011-10-06 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen passivation of source and drain recesses
KR20140097453A (ko) 2011-12-19 2014-08-06 인텔 코오퍼레이션 금속성 소스 및 드레인 영역들을 갖는 반도체 장치
US9064796B2 (en) * 2012-08-13 2015-06-23 Infineon Technologies Ag Semiconductor device and method of making the same
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
CN102945793A (zh) * 2012-12-03 2013-02-27 上海集成电路研发中心有限公司 一种外延生长锗硅应力层的预清洗方法
US10134896B2 (en) * 2013-03-01 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic deposition etch chemical vapor deposition epitaxy to reduce EPI abnormality
CN104037083B (zh) * 2013-03-04 2017-02-22 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9385215B2 (en) 2013-03-15 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. V-shaped SiGe recess volume trim for improved device performance and layout dependence
US9306054B2 (en) 2013-05-24 2016-04-05 Samsung Electronics Co., Ltd. Semiconductor device and a method of fabricating the same
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
CN104752212B (zh) * 2013-12-30 2017-11-03 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9236445B2 (en) 2014-01-16 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor having replacement gate and epitaxially grown replacement channel region
US9224814B2 (en) * 2014-01-16 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9425099B2 (en) 2014-01-16 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US9184234B2 (en) 2014-01-16 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor design
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9525031B2 (en) 2014-03-13 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
CN105304481A (zh) * 2014-06-10 2016-02-03 联华电子股份有限公司 半导体元件及其制作方法
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
CN105762106B (zh) 2014-12-18 2021-02-19 联华电子股份有限公司 半导体装置及其制作工艺
US10304957B2 (en) 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
KR102443814B1 (ko) 2016-11-16 2022-09-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US10522656B2 (en) 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
KR102512799B1 (ko) * 2018-03-07 2023-03-22 삼성전자주식회사 반도체 소자 및 그 제조방법
KR102582670B1 (ko) * 2018-07-13 2023-09-25 삼성전자주식회사 반도체 장치
CN109473469A (zh) * 2018-10-29 2019-03-15 上海华力集成电路制造有限公司 Nmos管及其制造方法
CN111627816B (zh) * 2019-02-28 2023-07-18 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0355359B1 (de) * 1988-08-19 1993-03-17 Asea Brown Boveri Ag Abschaltbares Halbleiterbauelement
US5312768A (en) * 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6503783B1 (en) * 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6555891B1 (en) * 2000-10-17 2003-04-29 International Business Machines Corporation SOI hybrid structure with selective epitaxial growth of silicon
US6762463B2 (en) * 2001-06-09 2004-07-13 Advanced Micro Devices, Inc. MOSFET with SiGe source/drain regions and epitaxial gate dielectric
US6815970B2 (en) * 2001-08-31 2004-11-09 Texas Instruments Incorporated Method for measuring NBTI degradation effects on integrated circuits
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6762961B2 (en) * 2002-04-16 2004-07-13 Sun Microsystems, Inc. Variable delay compensation for data-dependent mismatch in characteristic of opposing devices of a sense amplifier
US6653856B1 (en) * 2002-06-12 2003-11-25 United Microelectronics Corp. Method of determining reliability of semiconductor products
US6743684B2 (en) * 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6846720B2 (en) * 2003-06-18 2005-01-25 Agency For Science, Technology And Research Method to reduce junction leakage current in strained silicon on silicon-germanium devices
CA2530065C (en) * 2003-06-26 2011-12-20 Rj Mears, Llc Semiconductor device including mosfet having band-engineered superlattice
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP2005072084A (ja) * 2003-08-28 2005-03-17 Toshiba Corp 半導体装置及びその製造方法
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7129548B2 (en) * 2004-08-11 2006-10-31 International Business Machines Corporation MOSFET structure with multiple self-aligned silicide contacts
US7105897B2 (en) * 2004-10-28 2006-09-12 Taiwan Semiconductor Manufacturing Company Semiconductor structure and method for integrating SOI devices and bulk devices
US7358551B2 (en) * 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
US7491615B2 (en) * 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US7413961B2 (en) * 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012068797A1 (zh) * 2010-11-22 2012-05-31 中国科学院微电子研究所 一种半导体器件及其形成方法
US8552504B2 (en) 2010-11-22 2013-10-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
CN105374684A (zh) * 2014-08-30 2016-03-02 中芯国际集成电路制造(上海)有限公司 Pmos结构及其形成方法

Also Published As

Publication number Publication date
TW200731415A (en) 2007-08-16
CN101022084A (zh) 2007-08-22
US20070190731A1 (en) 2007-08-16
TWI306632B (en) 2009-02-21
US7608515B2 (en) 2009-10-27

Similar Documents

Publication Publication Date Title
CN100477131C (zh) 形成半导体装置的方法
US10937907B2 (en) Method for fabricating transistor with thinned channel
US7332439B2 (en) Metal gate transistors with epitaxial source and drain regions
US9299837B2 (en) Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US7494884B2 (en) SiGe selective growth without a hard mask
US9659823B2 (en) Highly scaled tunnel FET with tight pitch and method to fabricate same
CN101295733B (zh) 半导体元件
JP5114919B2 (ja) 半導体装置とその製造方法
US8253177B2 (en) Strained channel transistor
US8466503B2 (en) Semiconductor transistors with expanded top portions of gates
US6180988B1 (en) Self-aligned silicided MOSFETS with a graded S/D junction and gate-side air-gap structure
KR100868649B1 (ko) 반도체 소자 및 그의 제조방법
US20090174002A1 (en) Mosfet having a high stress in the channel region
CN100461454C (zh) 半导体元件及形成半导体元件的方法
US20090273034A1 (en) Source/Drain Carbon Implant and RTA Anneal, Pre-SiGe Deposition
KR20060132920A (ko) 스페이서 절연 영역 폭이 다른 집적 회로 및 그 제조 방법
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US20060172556A1 (en) Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US20110115026A1 (en) Control of threshold voltages in high-k metal gate stack and structures for cmos devices
CN102214609A (zh) 一种半导体器件及其制造方法
US7148130B2 (en) Semiconductor device and method of manufacturing the same
US20050118770A1 (en) Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US9553159B2 (en) Semiconductor devices having polysilicon gate patterns and methods of fabricating the same
CN101452959B (zh) 半导体器件及其制造方法
US7892909B2 (en) Polysilicon gate formation by in-situ doping

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant