WO2022264380A1 - プラズマ処理方法および半導体装置の製造方法 - Google Patents

プラズマ処理方法および半導体装置の製造方法 Download PDF

Info

Publication number
WO2022264380A1
WO2022264380A1 PCT/JP2021/023097 JP2021023097W WO2022264380A1 WO 2022264380 A1 WO2022264380 A1 WO 2022264380A1 JP 2021023097 W JP2021023097 W JP 2021023097W WO 2022264380 A1 WO2022264380 A1 WO 2022264380A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
sige
gas
plasma
silicon
Prior art date
Application number
PCT/JP2021/023097
Other languages
English (en)
French (fr)
Inventor
ユウ チョウ
真 佐竹
Original Assignee
株式会社日立ハイテク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社日立ハイテク filed Critical 株式会社日立ハイテク
Priority to JP2022536779A priority Critical patent/JP7320135B2/ja
Priority to CN202180013644.2A priority patent/CN115707347A/zh
Priority to KR1020227018642A priority patent/KR102631665B1/ko
Priority to PCT/JP2021/023097 priority patent/WO2022264380A1/ja
Priority to TW111121839A priority patent/TWI822106B/zh
Publication of WO2022264380A1 publication Critical patent/WO2022264380A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors

Definitions

  • the present invention relates to a plasma processing method and a method for manufacturing a GAA-FET (Gate all around - field effect transistor).
  • the present invention relates to an applicable and effective plasma processing method and a semiconductor device manufacturing method for forming a GAA-FET.
  • GAA-FETs Gate All Around-Field-Effect Transistors
  • GAA-FET suppresses the sub-threshold leakage current that becomes apparent with miniaturization by arranging the gate electrode around the channel as a nanowire.
  • it is required to selectively isotropically etch each SiGe layer 103 with respect to each Si layer 102 in a Si (silicon)/SiGe (silicon germanium) laminated structure as shown in FIG. .
  • a SiGe layer 103 and a Si layer 102 are repeatedly laminated under a mask 101 using a silicon oxide film (SiO 2 ), a silicon nitride film (SiN), etc., and a groove 104 having a predetermined depth is formed in advance. is formed.
  • Each film thickness of the SiGe layer 103 and the Si layer 102 is, for example, 20 nm or less.
  • Each SiGe layer 103 of this laminated structure is required to be processed with the same etching amount.
  • the number of SiGe/Si layers is expected to be increased to 3 or more layers in order to improve the degree of integration.
  • Halogen-based gases such as nitrogen trifluoride (NF 3 ), carbon tetrafluoride (CF 4 ), and chlorine (Cl 2 ) were used as a method of etching the SiGe layer 103 with high selectivity with respect to the Si layer 102.
  • Plasma etching techniques are known. Since the binding energy of Si-Ge (binding energy: 297 kJ/mol) is smaller than that of Si-Si (binding energy: 310 kJ/mol), SiGe is selectively etched by halogen plasma irradiation.
  • Patent Document 1 discloses a method of etching with microwave plasma using fluoride gas. Specifically, in the method of etching a heterostructure including a SiGe layer and a Si layer formed on the SiGe layer, only fluoride gas is used as the reaction gas, the flow rate is 10 to 800 sccm, and the processing pressure is A method for selectively isotropically etching a SiGe layer at 266 Pa or less, microwave power of 150-400 W, and processing temperature of 5-25 ° C. is disclosed.
  • Patent Document 2 discloses a method of using pulse-modulated plasma in a method of selectively isotropically etching a SiGe layer having a structure in which Si layers and SiGe layers are alternately and repeatedly stacked. . Specifically, nitrogen trifluoride (NF 3 ) gas is used as the etching gas, and the SiGe layer is selectively isotropically etched with respect to the Si layer under the condition that the pulse modulation duty ratio is 50% or less. is disclosed.
  • NF 3 nitrogen trifluoride
  • FIGS 2A and 2B show the target and actual processed shapes when applying the prior art shown in Patent Documents 1 and 2 to the Si/SiGe laminated structure shown in Figure 1, respectively.
  • the target geometry requires that each SiGe layer 203 is etched by the same amount 204 with respect to the Si layer 202 .
  • the etching amount 204 of the SiGe layer 203 is 5-20 nm.
  • the etching amount 204 of each SiGe layer 203 decreases in the depth direction of the laminated structure (204a>204b>204c). This depth dependence is thought to be due to the decrease in the etchant in the depth direction.
  • the object of the present invention is to solve the problem that the etching amount 204 of each SiGe layer is not uniform in the depth direction of the laminated structure, so that the etching amount does not depend on the depth of the laminated structure.
  • the object of the present invention is to provide a plasma processing technology for
  • Plasma oxidation using an oxygen (O) element-containing gas in a plasma processing technology in which each SiGe layer of a laminated structure in which Si layers and SiGe layers are alternately laminated is isotropically etched selectively with respect to each Si layer and plasma etching using fluorine (F) and carbon (C) element-containing gases are provided.
  • O oxygen
  • F fluorine
  • C carbon
  • the etching amount of each SiGe layer of the Si/SiGe laminated structure can be made uniform or the same in the depth direction of the laminated structure.
  • FIG. 1 is a cross-sectional view of a Si/SiGe stack used in GAA-FET fabrication; FIG. This is the target shape of the Si/SiGe stacked structure in SiGe isotropic etching. This is the actual processed shape of the Si/SiGe laminated structure in SiGe isotropic etching.
  • 1 is a schematic cross-sectional view showing a schematic configuration of a plasma etching apparatus that performs processing according to Example 1.
  • FIG. 2 is a block diagram showing a schematic configuration of a gas supply system of a plasma etching apparatus that performs processing according to Example 1;
  • FIG. 1 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 1.
  • FIG. 1 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 1.
  • FIG. 1 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 1.
  • FIG. 1 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 1.
  • FIG. 1 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 1.
  • FIG. 1 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 1.
  • FIG. 1 is a flow chart of a SiGe isotropic etching method of Example 1.
  • FIG. 4 shows the plasma oxidation time dependence of the Si surface oxide film thickness in Example 1.
  • FIG. 4 shows the cycle number dependence of the SiGe etching amount in Example 1.
  • FIG. 4 shows the plasma irradiation oxidation time dependence of the SiGe etching rate of Example 1.
  • FIG. 4 shows the radical irradiation oxidation time dependence of the SiGe etching rate of Example 1.
  • FIG. 2 shows CFx plasma irradiation time dependency of SiGe etching rate in Example 1.
  • FIG. FIG. 2 shows CFx radical irradiation time dependency of SiGe etching rate in Example 1.
  • FIG. 4 is a flow chart of the SiGe isotropic etching method of Example 2.
  • FIG. FIG. 10 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 3;
  • FIG. 10 is a cross-sectional view of a Si/SiGe laminated structure showing the process flow of Example 3;
  • 3 is a flow chart of the SiGe isotropic etching method of Example 3.
  • FIG. 3 The configuration of the plasma etching apparatus 300 used in this embodiment will be described with reference to FIGS. 3 and 4.
  • the plasma etching apparatus 300 has a vacuum chamber 301 that evacuates the inside.
  • This vacuum chamber 301 has a substrate mounting table 302 on which the substrate 30 to be processed is mounted.
  • the vacuum chamber 301 is attached with an exhaust pipe 303, a vacuum valve 304, and a vacuum pump 305, which communicate with each other.
  • a heater 306 and a coolant channel 308 are incorporated inside the substrate mounting table 302 .
  • the heater 306 is connected to a power source 307 for heating.
  • Coolant channel 308 is connected to coolant supply section 309 .
  • a perforated plate 310 with many small holes 311 and a dielectric window 312 are attached inside the vacuum chamber 301.
  • a decompression chamber lower region 313 is formed below the perforated plate 310
  • a decompression chamber upper region 314 is formed between the perforated plate 310 and the dielectric window 312 .
  • a space between the dielectric window 312 and the vacuum chamber 301 is vacuum-sealed, and a vacuum pump 305 evacuates a decompression chamber lower region 313 and a decompression chamber upper region 314 inside the vacuum chamber 301 .
  • 320 is a magnetron that generates microwaves with a frequency of 2.45 GHz
  • 321 is a waveguide
  • 323 is a cavity for introducing microwaves.
  • the upper surface of the cavity 323 is covered with an electromagnetic coil 325, and the sides of the cavity 323, the side of the decompression chamber lower region 313, and the side of the decompression chamber upper region 314 are surrounded by an electromagnetic coil 324.
  • a gas supply nozzle 330 is connected to the decompression chamber upper region 314, and a processing gas whose flow rate is adjusted is supplied to the decompression chamber upper region 314 from a gas supply unit 400 whose detailed configuration is shown in FIG.
  • Each operation of the vacuum valve 304, the vacuum pump 305, the heating power supply 307, the refrigerant supply unit 309, the magnetron 320, the electromagnetic coils 324, 325, and the gas supply unit 400 is controlled by the control unit 340 based on a preset program. controlled by
  • the gas supply unit 400 has a configuration as shown in FIG. That is, the flow rate of the first gas supplied from the first gas supply source through the pipe 410 is adjusted by a mass flow controller (MFC) 411, and the flow is turned on and off by opening and closing the valve 412 to pipe 413, The gas is supplied to the decompression chamber upper region 314 from the gas supply nozzle 330 through 414 and 401 .
  • MFC mass flow controller
  • a second gas supplied from a second gas supply source through a pipe 420 has its flow rate adjusted by a mass flow controller (MFC) 421, and is turned on and off by opening and closing a valve 422. , 414 and 401 into the vacuum chamber upper region 314 from the gas supply nozzle 330 . Lines 414 and 401 are shared with the first gas.
  • MFC mass flow controller
  • the third gas supplied from the third gas supply source through the pipe 430 has its flow rate adjusted by a mass flow controller (MFC) 431, and the flow is turned on and off by opening and closing the valve 432 to flow through the pipe 433. Then, it is supplied from the gas supply nozzle 330 to the decompression chamber upper region 314 through the common pipe 401 with the first gas and the second gas.
  • MFC mass flow controller
  • the MFCs 411, 421, and 431 are each connected to the controller 340, and the controller 340 controls the flow rate of the gas flowing through them.
  • the valves 412, 422, and 432 are also connected to the controller 340, respectively, and the opening and closing of the valves 412, 422, and 432 are controlled by the controller 340 to control the on/off of the flow of gas flowing through them. .
  • the vacuum pump 305 was operated with the vacuum valve 304 opened under the control of the control unit 340, and the decompression chamber lower region 313 and the decompression chamber upper region 314 inside the vacuum chamber 301 were evacuated.
  • gas is supplied from the gas supply unit 400 through the gas supply nozzle 330 to set the decompression chamber lower region 313 and the decompression chamber upper region 314 to desired pressures.
  • control unit 340 controls the electromagnetic coils 324 and 325 to form a magnetic field of desired strength inside the decompression chamber upper region 314 of the vacuum chamber 301 .
  • the magnetron 320 is controlled by the controller 340 to generate microwaves, which are supplied to the decompression chamber upper region 314 and the decompression chamber lower region 313 through the waveguide 321 .
  • the magnetic field formed by the electromagnetic coils 324 and 325 is strong enough to satisfy the ECR (Electron Cyclotron Resonance) condition of the 2.45 GHz microwave supplied to the decompression chamber lower region 313 and the decompression chamber upper region 314. is set to be High-density plasma is generated in a region where a magnetic field of desired strength is formed.
  • ECR Electro Cyclotron Resonance
  • the small holes 311 formed in the perforated plate 310 are formed with a hole diameter that does not allow the high-density plasma generated in the decompression chamber upper region 314 to pass through. Therefore, ions and some radicals in the high-density plasma generated in the decompression chamber upper region 314 cannot reach the substrate 30 to be processed. Only some of the radicals can also move to the decompression chamber lower region 313 side and reach the processing substrate 30 .
  • the process in which plasma is generated in the decompression chamber upper region 314 is referred to as radical irradiation in this specification because only some of the radicals reach the substrate 30 to be processed.
  • plasma irradiation when plasma is generated in the decompression chamber lower region 313 , ions and radicals of the generated high-density plasma can reach the processing substrate 30 .
  • the process in which plasma is generated in the decompression chamber lower region 313 is referred to as plasma irradiation in this specification.
  • the plasma etching apparatus 300 having the configuration described above is used to perform the etching process described below.
  • Example 1 plasma irradiation (also referred to as plasma oxidation) using oxygen (O 2 ) gas and fluorocarbon radicals using a mixed gas of octafluorocyclobutane (C 4 F 8 ) and nitrogen trifluoride (NF 3 ) were performed.
  • This is a plasma processing method in which selective etching of SiGe is performed by repeating radical irradiation (also referred to as CFx radical irradiation or plasma etching) of (referred to as CFx radicals).
  • 5A-5F are cross-sectional views of a Si/SiGe stack showing the SiGe selective etching technique of Example 1.
  • FIG. A process flow of the plasma processing method of Example 1 is shown in FIG.
  • the GAA-FET Gate All Around-Field-Effect Transistor
  • GAA-FET suppresses the sub-threshold leakage current that becomes apparent with miniaturization by arranging the gate electrode around the channel region composed of the Si layer as a nanowire.
  • each Si layer 502 has to selectively isotropically etch each SiGe layer 503 . 5A-5F, the lowest Si layer 502 represents the silicon layer of the semiconductor substrate, and each of the plurality of Si layers 502 other than the lowest can constitute the channel region of the GAA-FET.
  • a SiGe layer 503 and a Si layer 502 are repeatedly laminated under a mask 501 using a silicon oxide film (SiO 2 ), a silicon nitride film (SiN), etc., and a groove 504 having a predetermined depth is formed in advance. is formed.
  • Each film thickness of the SiGe layer 503 and the Si layer 502 is, for example, 20 nm or less.
  • Each SiGe layer 503 of this Si/SiGe laminated structure is processed with the same etching amount.
  • the number of SiGe/Si stacks is increased to 3 or more to improve the degree of integration.
  • the thin film structure etched in Example 1 is shown in FIG. 5A (corresponding to step 601 in FIG. 6). It has a structure in which Si layers 502 and SiGe layers 503 are alternately stacked under an etching mask 501 .
  • the mask 501 is made of silicon oxide film (SiO 2 ), silicon nitride film (SiN), or other material that provides a high etching selectivity to Si and SiGe, and the mask 501 is pre-patterned into a desired pattern.
  • Each Si layer 502 and each SiGe layer 503 are layers made of crystalline Si and crystalline SiGe, respectively, formed by an epitaxial growth method.
  • the total lamination number of Si layers 502 and SiGe layers 503 is two or more in this example.
  • Example 1 The structure to be etched in Example 1 is not limited to the thin film structure shown in FIG. 5A. good.
  • step 602 using the mask 501 as a mask for plasma etching, grooves 510 of a predetermined depth are formed in the Si/SiGe laminated structure by plasma etching, as shown in FIG. 5B.
  • step 603a which is an oxidation process for oxidizing the sidewall surface of the Si/SiGe laminated structure to form a surface oxide layer, and a removal process for removing the surface oxide layer.
  • step 603a oxidation step
  • the sidewall surface of the Si/SiGe laminated structure is oxidized by oxygen plasma 504 using an oxygen element-containing gas such as oxygen (O 2 ) gas to form a Si/SiGe laminated structure.
  • a silicon oxide layer (hereinafter referred to as SiOx layer) 505 and a silicon germanium oxide layer (hereinafter referred to as SiGeOx layer) 506 are formed on the sidewall surface of the structure.
  • step 603a by plasma oxidation with oxygen plasma 504, a SiOx layer 505 is formed on the surface of the Si layer 502 as a surface oxide layer, and a SiGeOx layer 506 is formed on the surface of the SiGe layer 503 as a surface oxide layer.
  • Oxygen plasma 504 is generated in the lower pressure chamber region 313 .
  • FIG. 7 shows the oxidation time dependence of the thickness of the Si surface oxide film (SiOx layer 505).
  • the Si surface oxide film becomes thicker as the oxidation time increases. Its thickness tends to saturate with increasing oxidation time.
  • the thickness of the SiGe oxide film (SiGeOx layer 506) tends to become saturated as the oxidation time increases, as in FIG.
  • the oxidation time is used to saturate the thickness of the SiGeOx layer 506 , so that each SiGe layer 503 has the same thickness of the SiGeOx layer 506 formed on the surface of the SiGe layer 503 .
  • step 603b the SiGeOx layer 506 is removed by radical irradiation using a mixed gas of octafluorocyclobutane ( C4F8 ) and nitrogen trifluoride ( NF3).
  • Plasma is generated in the vacuum chamber upper region 314 . That is, in step 603b (removal step), the gas generated by plasma using gas containing elemental fluorine and elemental carbon (mixed gas of octafluorocyclobutane (C 4 F 8 ) and nitrogen trifluoride (NF 3 ))
  • the SiGe layer 503 is removed by removing the SiGeOx layer 506 with radicals.
  • the etchant reaching the processing substrate 30 is the CFx radicals 507 (that is, the processing substrate 30 is irradiated with CFx radicals).
  • the SiGeOx layer 506 is removed by reacting with CFx radicals to produce silicon tetrafluoride ( SiF4 ), germanium tetrafluoride ( GeF4 ) and carbon monoxide (CO). Since the Si—O bond (bond energy: 779.6 kJ/mol) has a higher bond energy than the Ge—O bond (bond energy: 657.5 kJ/mol), the SiOx layer 505 does not easily react with CFx radicals and remains.
  • CFx radicals are deposited on the surface of SiGe.
  • the etching of the SiGe layer 503 is stopped as a result of the deposition of CFx radicals. In other words, the etching of the SiGe layer 503 is stopped (here, called etch stop).
  • the processing time of step 603b was the processing time during which the SiGeOx layer 506 was removed and the SiGe was exposed.
  • the etching amount of each SiGe layer 503 in step 603b is the same. That is, the etching amount of each SiGe layer 503 can be made uniform in the depth direction of the laminated structure (or the depth direction of the groove 510).
  • the etching of the SiGe layer 503 proceeds with the formation and removal of the SiGeOx layer 506 by repeating steps 603a-603b, as shown in FIG. 5E.
  • the Si layer 502 remains on the surface (side surface) of the Si layer 502 because the SiOx layer 505 is not etched. Since steps 603a and 603b used the condition that the SiGe etching amount is saturated, the etching amount 508 of each SiGe layer 503 can be uniform in the depth direction of the laminated structure (or the depth direction of the groove 510).
  • FIG. 8 shows the dependence of the SiGe etch amount on the number of cycles repeated. As the number of cycles increases, the SiGe etch rate increases proportionally.
  • the number of cycles means the number of repetitions of one cycle, where each of steps 603a and 603b is performed once.
  • the SiOx layer 505 remaining on the surface of the Si layer 502 is removed.
  • Wet processing and dry etching are known methods for removing the SiOx layer 505, and the SiOx layer 505 can be removed using wet processing or dry etching.
  • the thickness of the SiOx layer 505 is, for example, several angstroms.
  • step 603a oxygen plasma irradiation generated in the lower region 313 of the decompression chamber was used.
  • 9A and 9B show plasma irradiation oxidation time dependence and radical irradiation oxidation time dependence of SiGe etching rate, respectively.
  • the SiGe etching amount is indicated by a solid line and the Si etching amount is indicated by a dotted line.
  • plasma irradiation oxidation is used, as shown in FIG. 9A, the amount of SiGe etched increases with increasing oxidation time and becomes nearly constant. It is considered that this is because the thickness of the SiGe oxide film is saturated.
  • step 603a it is sufficient that Si and SiGe can be oxidized not only with oxygen but also with a gas containing oxygen.
  • step 603b radical irradiation in which plasma is generated in the decompression chamber upper region 314 is used.
  • 10A and 10B show the CFx plasma irradiation time dependence and CFx radical irradiation oxidation time dependence of the SiGe etching rate, respectively.
  • the SiGe etching amount is indicated by a solid line and the Si etching amount is indicated by a dotted line.
  • the SiGe etching amount increases as the irradiation time increases. However, Si is etched at the same time, and the selectivity between SiGe and Si is lowered.
  • step 603b a mixed gas of C 4 F 8 and NF 3 with a NF 3 /(C 4 F 8 +NF 3 ) mixing ratio of 57% or less was used.
  • the NF 3 /(C 4 F 8 +NF 3 ) mixture ratio is 57% or more
  • the fluorine (F):carbon (C) ratio in the mixed gas becomes 3:1 or more
  • the CFx radicals in the plasma are mainly carbon trifluoride (CF 3 ) and fluorine (F).
  • No etch stop occurs after removing the SiGeOx layer 506 because CF 3 does not deposit on the SiGe surface.
  • FIG. 11 shows the NF 3 /(C 4 F 8 +NF 3 ) gas mixture ratio dependency of the SiGe and Si etching rates.
  • CFx radicals may be generated not only by a mixed gas of C4F8 and NF3 but also by a gas containing C and F.
  • a mixed gas with a F:C ratio of 3:1 or less is desirable. That is, as the gas containing elemental fluorine and elemental carbon used in the removal step (step 603b), it is preferable to use a gas in which the ratio of elemental fluorine to elemental carbon is 3 or less.
  • Nitrogen trifluoride (NF 3 ) gas, carbon tetrafluoride (CF 4 ) gas, and sulfur hexafluoride (SF 6 ) gas are nitrogen trifluoride (NF 3 ) gas, carbon tetrafluoride (CF 4 ) gas, and sulfur hexafluoride (SF 6 ) gas.
  • fluorine (F) element-containing gas such as fluorine (F 2 ) gas with a fluorine (F) and carbon (C) element ratio of 3:1 or more, octafluorocyclobutane (C 4 F 8 ) gas, octafluorocyclobutane (C 4 F 8 ) gas, octafluorocyclobutane (C 4 F 8 ) gas Fluorocyclopentene (C 6 F 8 ) gas, difluoromethane (CH 2 F 2 ) gas, or methane (CH 4 ) gas, etc. Carbon (C) with a fluorine (F) and carbon (C) element ratio of 3:1 or less A mixed gas with an element-containing gas can be used.
  • plasma irradiation and radical irradiation may be performed in separate plasma etching apparatus chambers. Since the plasma etching apparatus 300 can perform plasma irradiation and radical irradiation in the same chamber 301, it has an advantage in improving throughput.
  • Each silicon germanium (SiGe) layer 503 in a laminated structure in which silicon (Si) layers 502 and silicon germanium (SiGe) layers 503 are alternately laminated is selectively isotropically with respect to each silicon (Si) layer 502.
  • the etching plasma treatment method includes: an oxidation step (603a) of oxidizing the silicon germanium (SiGe) layer 503 and the silicon (Si) layer 502 by plasma using an oxygen element-containing gas; a removing step (603b) of removing the silicon germanium (SiGe) layer 503 by radicals generated by plasma using a gas containing fluorine element and carbon element, The silicon germanium (SiGe) layer 503 is etched by repeating the oxidation step (603a) and the removal step (603b).
  • a gas in which the ratio of the fluorine element to the carbon element is 3 or less is used as the gas containing the fluorine element and the carbon element.
  • the gas containing elemental fluorine and elemental carbon is "nitrogen trifluoride (NF3) gas, carbon tetrafluoride (CF4) gas, sulfur hexafluoride ( SF6 ) gas or fluorine ( F2) gas. gas” and “octafluorocyclobutane ( C4F8 ) gas, octafluorocyclopentene ( C6F8 ) gas, difluoromethane ( CH2F2 ) gas or methane ( CH4) gas” .
  • NF3 nitrogen trifluoride
  • CF4 carbon tetrafluoride
  • SF6 sulfur hexafluoride
  • F2 fluorine
  • each silicon germanium (SiGe) layer 503 having a laminated structure in which a silicon (Si) layer 502 and a silicon germanium (SiGe) layer 503 are alternately laminated is separated from each silicon (Si) layer 502 It can be used in a method of manufacturing a semiconductor device that forms a Gate All Around-Field-Effect Transistor (GAA-FET) by isotropic etching selectively with respect to
  • GAA-FET Gate All Around-Field-Effect Transistor
  • step 604 may remove the SiOx layer 505 and remove the mask 501 in the first embodiment.
  • step 604 may remove the SiOx layer 505 and remove the mask 501 in the first embodiment.
  • FIG. 12 shows a flow chart of the plasma processing method according to the SiGe selective etching method of Example 2. As shown in FIG.
  • the Si/SiGe laminated structure processed using Example 2 is the same as Example 1. Steps 1201-1202 of the second embodiment are similar to steps 601-602 of the first embodiment.
  • Step 1203a which is a nitriding step of nitriding (plasma nitriding) the surface of the SiGe layer to form surface nitrides (SiNx, SiGeNx), octafluorocyclobutane ( C4F8 ) gas and nitrogen trifluoride ( NF3)
  • Step 1203b which is a removal step of removing the surface nitride SiGeNx formed on the surface of the SiGe layer by radical irradiation using a mixed gas of a gas containing fluorine element and carbon element, such as a mixed gas of gases, is repeated.
  • step 1203a The thickness of the nitride film (SiNx, SiGeNx) formed in step 1203a saturates depending on the irradiation time, like the oxide film formed in step 603a.
  • step 1203b similar to step 603b, after removing the surface nitride film (SiGeNx) formed on the surface of the SiGe layer 503, CFx radicals are deposited on the surface of SiGe. Etching stops due to the deposition of CFx radicals.
  • the object to be removed is not the oxide film but the surface nitride film (SiNx) formed on the surface of the Si layer 502.
  • SiNx surface nitride film
  • step 1203a plasma irradiation is desirable from the viewpoint of improving throughput, but radical irradiation may also be used.
  • step 1203a it suffices if Si and SiGe can be nitrided with a gas containing not only N 2 but also an N element.
  • step 1203b from the viewpoint of improving the SiGe/Si selectivity, radical irradiation is desirable, but plasma irradiation may also be used.
  • CFx radicals may be generated not only with a mixed gas of C4F8 and NF3 but also with a gas containing C and F.
  • a gas mixture with a F:C ratio of 3:1 or less is desirable to stop the etching of the SiGe layer 503 after the nitride layer is removed.
  • the gas containing elemental fluorine and elemental carbon used in the removing step (step 1203b) it is preferable to use a gas in which the ratio of elemental fluorine to elemental carbon is 3 or less.
  • Nitrogen trifluoride (NF 3 ) gas, carbon tetrafluoride (CF 4 ) gas, and sulfur hexafluoride (SF 6 ) gas are nitrogen trifluoride (NF 3 ) gas, carbon tetrafluoride (CF 4 ) gas, and sulfur hexafluoride (SF 6 ) gas.
  • fluorine (F) element-containing gas such as fluorine (F 2 ) gas with a fluorine (F) and carbon (C) element ratio of 3:1 or more, octafluorocyclobutane (C 4 F 8 ) gas, octafluorocyclobutane (C 4 F 8 ) gas, octafluorocyclobutane (C 4 F 8 ) gas Fluorocyclopentene (C 6 F 8 ) gas, difluoromethane (CH 2 F 2 ) gas, or methane (CH 4 ) gas, etc. Carbon (C) with a fluorine (F) and carbon (C) element ratio of 3:1 or less A mixed gas with an element-containing gas can be used.
  • plasma irradiation and radical irradiation may be performed in separate chambers of plasma etching apparatuses. Since the plasma etching apparatus 300 can perform plasma irradiation and radical irradiation in the same chamber 301, it has an advantage in improving throughput.
  • the second embodiment can be summarized as follows.
  • Each silicon germanium (SiGe) layer 503 in a laminated structure in which silicon (Si) layers 502 and silicon germanium (SiGe) layers 503 are alternately laminated is selectively isotropically with respect to each silicon (Si) layer 502.
  • the silicon germanium (SiGe) layer 503 is etched by repeating the nitriding step (1203a) and the removing step (1203b).
  • a gas in which the ratio of the fluorine element to the carbon element is 3 or less is used as the gas containing the fluorine element and the carbon element.
  • the gas containing elemental fluorine and elemental carbon is "nitrogen trifluoride (NF3) gas, carbon tetrafluoride (CF4) gas, sulfur hexafluoride ( SF6 ) gas or fluorine ( F2) gas. gas” and “octafluorocyclobutane ( C4F8 ) gas, octafluorocyclopentene ( C6F8 ) gas, difluoromethane ( CH2F2 ) gas or methane ( CH4) gas” .
  • NF3 nitrogen trifluoride
  • CF4 carbon tetrafluoride
  • SF6 sulfur hexafluoride
  • F2 fluorine
  • each silicon germanium (SiGe) layer 503 having a laminated structure in which a silicon (Si) layer 502 and a silicon germanium (SiGe) layer 503 are alternately laminated is separated from each silicon (Si) layer 502 It can be used in a method of manufacturing a semiconductor device that forms a Gate All Around-Field-Effect Transistor (GAA-FET) by isotropic etching selectively with respect to
  • GAA-FET Gate All Around-Field-Effect Transistor
  • FIGS. 13A and 13B 1301 is a mask layer, which can be made of materials such as silicon oxide (SiO 2 ) and silicon nitride (SiN) that provide high etching selectivity to Si and SiGe. .
  • the etching amount of the SiGe layer 1303 is larger than that in the step shown in FIG. 5F, so a high etching rate is required.
  • Example 3 a mixed gas of octafluorocyclobutane (C 4 F 8 ) gas and nitrogen trifluoride (NF 3 ) gas is used as a high-speed SiGe layer 1303 etching method without using an oxidation step such as step 603a. It was performed only with the plasma etching used.
  • the SiGe selective etching technique of Example 3 is shown in FIG. 14 as a flow chart.
  • Steps 1401-1402 of Example 3 are the same as Steps 601-602 of Example 1.
  • Example 3 The difference between Example 3 and Example 1 is that in step 1403 of FIG. 14, only plasma etching using a mixed gas of C 4 F 8 gas and NF 3 gas was performed without using an oxidation step. As shown in the NF 3 mixture ratio dependency of the etching speed in FIG . % or more mixed gas is desirable. That is, the flow rate ratio of nitrogen trifluoride (NF 3 ) gas to the flow rate of mixed gas is 57% or more.
  • NF 3 nitrogen trifluoride
  • the F:C ratio in the mixed gas of C4F8 and NF3 is 3 : 1 or more
  • the CFx radicals in the plasma are Etch stop due to deposition of CFx radicals does not occur mainly because carbon trifluoride (CF 3 ) is difficult to deposit.
  • Example 3 can obtain a maximum SiGe etching rate of several hundred nm/min, it has superiority in throughput when applied to the SiGe all-removing process shown in FIGS.
  • SiGe silicon germanium
  • Si silicon germanium
  • NF 3 nitrogen trifluoride
  • the flow rate ratio of nitrogen trifluoride (NF 3 ) gas to the flow rate of mixed gas is 57% or more.
  • each silicon germanium (SiGe) layer 503 having a laminated structure in which silicon (Si) layers 502 and silicon germanium (SiGe) layers 503 are alternately laminated is separated from each silicon (Si) layer 502 It can be used in a method of manufacturing a semiconductor device that forms a Gate All Around-Field-Effect Transistor (GAA-FET) by isotropic etching selectively with respect to
  • GAA-FET Gate All Around-Field-Effect Transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Si層及びSiGe層が交互に繰り返し積層された積層構造において、各SiGe層のエッチング量が積層構造の深さに依存しないSiGeの等方性ドライエッチングするプラズマ処理技術を提供する。Si層及びSiGe層が交互に繰り返し積層された構造の各SiGe層を各Si層に対して選択的に等方性エッチングするプラズマ処理方法において、酸素(O)元素含有ガスを用いたプラズマ酸化と、フッ素(F)および炭素(C)元素含有ガスを用いたプラズマエッチングと、を繰り返すプラズマ処理技術が提供される。

Description

プラズマ処理方法および半導体装置の製造方法
 本発明は、プラズマ処理方法およびGAA-FET(Gate all around - field effect transistor)の製造方法に関し、特に、Si(シリコン)とSiGe(シリコンゲルマニウム)との積層膜においてSiGe層の選択性エッチング技術に適用して有効なプラズマ処理方法およびGAA-FETを形成する半導体装置の製造方法に関する。
 近年、低消費電力かつ高速に動作するロジック回路としてGAA-FET(Gate All Around - Field-Effect Transistor)が期待されている。GAA-FETはチャネルをナノワイヤとしてゲート電極をその周囲に配置することで、微細化に伴って顕在化するサブスレッショルドリーク電流を抑制する。GAA-FET製造では、図1に示すようなSi(シリコン)/SiGe(シリコンゲルマニウム)積層構造において、各Si層102に対して各SiGe層103を選択的に等方性エッチングすることが求められる。Si/SiGe積層構造は、シリコン酸化膜(SiO2)、シリコン窒化膜(SiN)などを用いたマスク101の下に、SiGe層103とSi層102が繰り返し積層され、予め所定深さの溝104が形成されている。SiGe層103とSi層102それぞれの膜厚は、例えば、20nm以下である。この積層構造の各SiGe層103を同一のエッチング量で加工することが要求される。次世代GAA-FETでは、集積度向上のため、SiGe/Si積層数が3層以上に増大される見込みである。
 Si層102に対してSiGe層103を高い選択性でエッチングする方法として、三フッ化窒素(NF3)、四フッ化炭素(CF4)、塩素(Cl2)などのハロゲン系ガスを用いたプラズマエッチング技術が知られている。Si-Si(結合エネルギー:310 kJ/mol)よりSi-Ge(結合エネルギー:297 kJ/mol)の結合エネルギーが小さいため、SiGeはハロゲンプラズマ照射によって選択的にエッチングされる。
 特許文献1には、フッ化物ガスを用いたマイクロ波プラズマでエッチングする方法が開示されている。具体的にはSiGe層と、SiGe層の上に形成されたSi層とを含むヘテロ構造体をエッチングする方法において、反応ガスとしてフッ化物ガスのみを用い、その流量を10~800sccm、処理圧力を266Pa以下、マイクロ波電力を150~400W、処理温度を5~25oCとして、SiGe層を選択的に等方性エッチングする方法が開示されている。
 また、特許文献2には、Si層とSiGe層が交互に繰り返し積層された構造のSiGe層を選択的に等方性エッチングする方法において、パルス変調されたプラズマを利用する手法が開示されている。具体的にはエッチングガスとして三フッ化窒素(NF3)ガスを用い、パルス変調のデューティー比を50%以下とする条件で、Si層に対してSiGe層を選択的に等方性エッチングする方法が開示されている。
特開2007-214390号公報 特開2015-76459号公報
 特許文献1、2に示されている先行技術を図1に示すSi/SiGe積層構造に適用する際に、目標と実際の加工形状をそれぞれ図2A、2Bに示す。図2Aに示すように、目標形状では、Si層202に対して各SiGe層203のエッチング量204が同一であることが要求される。SiGe層203のエッチング量204は5~20nmである。しかし、実際の加工形状では、図2Bに示すように、各SiGe層203のエッチング量204が積層構造の深さ方向に減少する(204a>204b>204c)。この深さ依存性は、エッチャントが深さ方向で減少したためと考えられる。この傾向は、次世代GAA-FETでは積層数増大によってさらに顕著になり、各SiGe層203の電気特性が揃わないという問題がある。したがって、各SiGe層203のエッチング量204を積層構造の深さ方向(または溝の深さ方向)において均一に揃えるSiGe選択性エッチング技術が必要である。
 本発明の目的は、各SiGe層のエッチング量204が積層構造の深さ方向において均一に揃わないという課題を解決するため、エッチング量が積層構造の深さに依存しないSiGeの等方性ドライエッチングするプラズマ処理技術を提供することにある。
 本発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。
 Si層及びSiGe層が交互に繰り返し積層された積層構造の各SiGe層を各Si層に対して選択的に等方性エッチングするプラズマ処理技術において、酸素(O)元素含有ガスを用いたプラズマ酸化と、フッ素(F)および炭素(C)元素含有ガスを用いたプラズマエッチングと、を繰り返すプラズマ処理技術が提供される。
 その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
 上記プラズマ処理技術によれば、GAA-FET加工向けのSiGe選択性エッチングにおいて、Si/SiGe積層構造の各SiGe層のエッチング量を積層構造の深さ方向において均一または同一にすることができる。
GAA-FET製造に使われるSi/SiGe積層構造の断面図である。 SiGe等方性エッチングにおけるSi/SiGe積層構造の目標形状である。 SiGe等方性エッチングにおけるSi/SiGe積層構造の実際の加工形状である。 実施例1に係る処理を実行するプラズマエッチング装置の概略の構成を示す略断面図である。 実施例1に係る処理を実行するプラズマエッチング装置のガス供給系の概略の構成を示すブロック図である。 実施例1のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例1のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例1のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例1のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例1のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例1のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例1のSiGe等方性エッチング方法のフローチャートである。 実施例1のSi表面酸化膜厚さのプラズマ酸化時間依存性である。 実施例1のSiGeエッチング量のサイクル数依存性である。 実施例1のSiGeエッチング速度のプラズマ照射酸化時間依存性である。 実施例1のSiGeエッチング速度のラジカル照射酸化時間依存性である。 実施例1のSiGeエッチング速度のCFxプラズマ照射時間依存性である。 実施例1のSiGeエッチング速度のCFxラジカル照射時間依存性である。 C4F8/NF3混合ガス中のNF3の含有率に対するSiGe、Siのエッチング速度の依存性である。 実施例2のSiGe等方性エッチング方法のフローチャートである。 実施例3のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例3のプロセスフローを示すSi/SiGe積層構造の断面図である。 実施例3のSiGe等方性エッチング方法のフローチャートである。
 以下、実施例について、図面を用いて説明する。ただし、以下の説明において、同一構成要素には同一符号を付し繰り返しの説明を省略することがある。なお、図面は説明をより明確にするため、実際の態様に比べ、模式的に表される場合があるが、あくまで一例であって、本発明の解釈を限定するものではない。
 本実施例で用いるプラズマエッチング装置300の構成を図3及び図4を用いて説明する。
 プラズマエッチング装置300は、内部を真空状態にする真空チャンバ301を備えている。この、真空チャンバ301は、内部に被処理基板30を載置する基板載置台302を備えている。また、真空チャンバ301には、連通する排気管303、真空バルブ304、真空ポンプ305が取り付けられている。
 基板載置台302の内部には、ヒータ306、冷媒流路308が組み込まれている。ヒータ306は加熱用の電源307と接続している。冷媒流路308は冷媒供給部309と接続している。
 真空チャンバ301の内部には、小さな孔311が多数形成された多孔板310、誘電体窓312が取り付けられている。多孔板310の下部には減圧室下部領域313、多孔板310と誘電体窓312との間には減圧室上部領域314が形成されている。誘電体窓312と真空チャンバ301との間は真空封止されており、真空ポンプ305により、真空チャンバ301の内部の減圧室下部領域313と減圧室上部領域314とが真空排気される。
 320は周波数が2.45GHzのマイクロ波を発生するマグネトロンであり、321は導波管であり、323はマイクロ波を導入する空洞部である。空洞部323の上面は電磁コイル325で覆われ、空洞部323の側面、減圧室下部領域313の側面および減圧室上部領域314の側面は、電磁コイル324で周囲を囲まれている。
 減圧室上部領域314には、ガス供給ノズル330が接続されており、図4にその詳細な構成を示すガス供給部400から減圧室上部領域314に流量が調整された処理ガスが供給される。
 真空バルブ304、真空ポンプ305、加熱用の電源307、冷媒供給部309、マグネトロン320、電磁コイル324、電磁コイル325及びガス供給部400の各動作は、予め設定されたプロブラムに基づいて制御部340により制御される。
 ガス供給部400は、図4に示すような構成を有している。すなわち、第1のガス供給源から配管410を通って供給された第1のガスは、マスフローコントローラ(MFC)411で流量が調整され、バルブ412の開閉により流れがオン・オフされて配管413、414、401を通ってガス供給ノズル330から減圧室上部領域314に供給される。
 同様に、第2のガス供給源から配管420を通って供給された第2のガスは、マスフローコントローラ(MFC)421で流量が調整され、バルブ422の開閉により流れがオン・オフされて配管423、414、401を通ってガス供給ノズル330から減圧室上部領域314に供給される。配管414と401とは、第1のガスと共有している。
 さらに、第3のガス供給源から配管430を通って供給された第3のガスは、マスフローコントローラ(MFC)431で流量が調整され、バルブ432の開閉により流れがオン・オフされて配管433を通り、更に第1のガス及び第2のガスと共通の配管401を通ってガス供給ノズル330から減圧室上部領域314に供給される。
 ここで、MFC411と421および431はそれぞれ制御部340と接続しており、夫々を流れるガスの流量が制御部340により制御される。さらに、バルブ412、422、432も夫々制御部340と接続しており、バルブ412、422、432の開閉が制御部340により制御されて、夫々を流れるガスの流れのオン・オフが制御される。
 以上のような構成において、制御部340の制御により真空バルブ304を開いた状態で真空ポンプ305を作動させ、真空チャンバ301の内部の減圧室下部領域313、減圧室上部領域314を真空に排気した状態で、ガス供給ノズル330を介してガス供給部400からガスを供給して減圧室下部領域313と減圧室上部領域314を所望に圧力に設定する。
 この状態で、制御部340により電磁コイル324と325とを制御して真空チャンバ301の減圧室上部領域314の内部に、所望の強度の磁場を形成させる。次に、マグネトロン320を制御部340により制御してマイクロ波を発生させ、導波管321を通って減圧室上部領域314と減圧室下部領域313に、マイクロ波を供給する。
 ここで、電磁コイル324と325とにより形成する磁場は、減圧室下部領域313と減圧室上部領域314に供給される2.45GHzのマイクロ波がECR(Electron Cyclotron Resonance)条件を満たすような強度となるように設定されている。所望の強度の磁場が形成されている領域には、高密度のプラズマが発生する。
 減圧室上部領域314にプラズマが発生する場合、多孔板310に形成された小さな孔311は、減圧室上部領域314に発生した高密度のプラズマが通過できない程度の穴径で形成されている。そのために、減圧室上部領域314に発生した高密度のプラズマ中のイオンと一部のラジカルは、処理基板30に到達することができない。一部のラジカルだけは、減圧室下部領域313の側にも移動し、処理基板30に到達することができる。減圧室上部領域314にプラズマが発生する処理は、一部のラジカルだけ処理基板30に到達するので、この明細書では、ラジカル照射と記載することとする。
 一方、減圧室下部領域313にプラズマが発生する場合、発生した高密度のプラズマのイオンとラジカルが処理基板30に到達することができる。減圧室下部領域313にプラズマが発生する処理は、この明細書では、プラズマ照射と記載することとする。
 本実施例では、上記に説明したような構成を有するプラズマエッチング装置300を用いて、以下に説明するようなエッチング処理を行う。
 実施例1では、酸素(O2)ガスを用いたプラズマ照射(プラズマ酸化とも言う)と、オクタフルオロシクロブタン(C4F8)と三フッ化窒素(NF3)の混合ガスを用いたフルオロカーボンラジカル(CFxラジカルという)のラジカル照射(CFxラジカル照射、または、プラズマエッチングともいう)とを繰り返して、SiGeの選択性エッチングを実施するプラズマ処理方法である。図5A~5Fは、実施例1のSiGe選択性エッチング手法を示すSi/SiGe積層構造の断面図である。実施例1のプラズマ処理方法のプロセスフローは、図6に示す。
 先に説明したように、低消費電力かつ高速に動作するロジック回路としてGAA-FET(Gate All Around - Field-Effect Transistor)が期待されている。GAA-FETはSi層により構成されるチャネル領域をナノワイヤとしてゲート電極をその周囲に配置することで、微細化に伴って顕在化するサブスレッショルドリーク電流を抑制する。GAA-FETの製造では、図5A~5Fに示すようなSi(シリコン)層502とSiGe(シリコンゲルマニウム)層503との積層構造(Si/SiGe積層構造と称する)において、各Si層502に対して各SiGe層503を選択的に等方性エッチングする。図5A~5Fにおいて、最下位のSi層502は半導体基板のシリコン層を示しており、最下位以外の複数のSi層502のおのおのはGAA-FETのチャネル領域を構成することができる。Si/SiGe積層構造は、シリコン酸化膜(SiO2)、シリコン窒化膜(SiN)などを用いたマスク501の下に、SiGe層503とSi層502が繰り返し積層され、予め所定深さの溝504が形成される。SiGe層503とSi層502それぞれの膜厚は、例えば、20nm以下である。このSi/SiGe積層構造の各SiGe層503を同一のエッチング量で加工する。次世代GAA-FETでは、集積度向上のため、SiGe/Si積層数が3層以上にされる。
 以下、図5A~5Fおよび図6を用いてSiGe層503の選択性エッチング手法にかかるプラズマ処理方法を説明する。
 まず、実施例1でエッチングする薄膜構造を図5Aに示す(図6のステップ601に対応する)。エッチング用のマスク501の下にSi層502とSiGe層503が交互に積層された構造である。マスク501は、Si、SiGeに対して高いエッチング選択比が得られるシリコン酸化膜(SiO2)、シリコン窒化膜(SiN)などの材料が用いられ、マスク501は所望のパターンに予めパターニングされている。各Si層502と各SiGe層503は、それぞれ、エピタキシャル成長法により形成された結晶Siと結晶SiGeからなる層である。Si層502とSiGe層503のトータル積層数は、この例では、2以上である。
 なお、実施例1でエッチングする構造は、図5Aに示す薄膜構造に限定されるものではなく、Si、Ge(ゲルマニウム)、SiGe合金のうち2種類以上を含む積層構造を少なくとも有していればよい。
 次に、ステップ602では、マスク501をプラズマエッチングのマスクとして用いて、図5Bに示すように、Si/SiGe積層構造に所定深さの溝510をプラズマエッチングで形成する。
 次に、ステップ603では、図5C~5Dに示すように、Si/SiGe積層構造の側壁表面を酸化させて表面酸化層を形成する酸化工程であるステップ603aとその表面酸化層を除去する除去工程であるステップ603bを繰り返して実施する。
 ステップ603a(酸化工程)では、図5Cに示すように、酸素(O2)ガスなどの酸素元素含有ガスを用いた酸素プラズマ504によってSi/SiGe積層構造の側壁表面を酸化してSi/SiGe積層構造の側壁表面にシリコン酸化層(以下、SiOx層という)505、シリコンゲルマニウム酸化層(以下、SiGeOx層という)506を形成させる。つまり、ステップ603aでは、酸素プラズマ504によるプラズマ酸化により、Si層502の表面に表面酸化層としてSiOx層505を形成し、SiGe層503の表面に表面酸化層としてSiGeOx層506を形成する。酸素プラズマ504は減圧室下部領域313に発生する。図7はSiの表面酸化膜(SiOx層505)の厚さの酸化時間依存性を示す。Si表面酸化膜は、酸化時間の増加によって厚くなる。その厚さは酸化時間の増加によって飽和になる傾向がある。SiGeはSiとほとんど同じ結晶構造を持つため、図7と同様に、SiGe酸化膜(SiGeOx層506)の厚さも酸化時間の増加によって飽和になる傾向がある。ステップ603aでは、SiGeOx層506の厚さが飽和する酸化時間を用いるため、各SiGe層503では同じ厚さのSiGeOx層506がSiGe層503の表面に形成されることになる。
 ステップ603b(除去工程)では、図5Dに示すように、オクタフルオロシクロブタン(C4F8)と三フッ化窒素(NF3)の混合ガスを用いたラジカル照射によって、SiGeOx層506を除去する。プラズマは減圧室上部領域314に発生する。つまり、ステップ603b(除去工程)では、フッ素元素と炭素元素を含有するガス(オクタフルオロシクロブタン(C4F8)と三フッ化窒素(NF3)の混合ガス)を用いたプラズマにより生成されたラジカルによってSiGeOx層506を除去することで、SiGe層503を除去する。C4F8とNF3の混合ガスを用いたラジカル照射では、処理基板30に到達するエッチャントがCFxラジカル507である(つまり、処理基板30にCFxラジカル照射を行う)。SiGeOx層506はCFxラジカルと反応し、四フッ化ケイ素(SiF4)、四フッ化ゲルマニウム(GeF4)、一酸化炭素(CO)を生成することで除去される。Ge-O結合(結合エネルギー:657.5 kJ/mol)よりSi-O結合(結合エネルギー:779.6 kJ/mol)の結合エネルギーが高いため、SiOx層505はCFxラジカルと反応しにくいので残留する。また、SiGeOx層506が除去され、SiGe層503表面のSiGeが露出されると、CFxラジカルはSiGeの表面に堆積する。CFxラジカルの堆積の結果、SiGe層503のエッチングは停止になる。つまり、SiGe層503のエッチングがストップすることになる(ここでは、エッチストップと称する)。ステップ603bの処理時間は、SiGeOx層506が除去されて、SiGeが露出される処理時間を用いた。ステップ603aで形成された各SiGe層503の表面(側面)に形成されたSiGeOx層506の厚さが同じであるため、ステップ603bにおける各SiGe層503のエッチング量は同じである。つまり、各SiGe層503のエッチング量は、積層構造の深さ方向(または溝510の深さ方向)において均一にできる。
 図5Eに示すように、ステップ603a~603bを繰り返すと、SiGeOx層506の形成と除去でSiGe層503のエッチングは進行する。Si層502はSiOx層505がエッチングされないため、Si層502の表面(側面)に残留する。ステップ603a~603bではSiGeエッチング量が飽和する条件を用いたため、各SiGe層503のエッチング量508は積層構造の深さ方向(または溝510の深さ方向)において均一に揃えることができる。図8はSiGeエッチング量と繰り返すサイクル数との依存性を示す。サイクル数の増加によって、SiGeエッチング量は比例的に増大する。ここで、サイクル数とは、ステップ603a~603bをそれぞれ一回実施した場合を1サイクルとして、この1サイクルの繰り返し回数を意味している。
 最後のステップ604では、Si層502の表面に残留したSiOx層505を除去する。SiOx層505の除去手法として、ウェット処理、ドライエッチングが知られており、ウェット処理またはドライエッチングを用いて、SiOx層505を除去することができる。SiOx層505の厚さは、例えば、数オングストローム程度である。
 以下、ステップ603a~603bで使われたプラズマ処理条件を説明する。
 ステップ603aでは、減圧室下部領域313に発生する酸素プラズマ照射を使用した。図9A~9BはそれぞれSiGeのエッチング速度のプラズマ照射酸化時間依存性とラジカル照射酸化時間依存性である。図9A~9Bにおいて、SiGeのエッチング量は実線で示され、Siのエッチング量は点線で示される。プラズマ照射の酸化を用いた場合、図9Aに示すように、SiGeのエッチング量が酸化時間の増加によって増加し、そしてほぼ一定になる。これはSiGe酸化膜の厚さが飽和になるためだと考えられる。一方、酸素(O)ラジカルのラジカル照射の酸化を用いた場合、図9Bに示すように、SiGeのエッチング量がプラズマ照射の場合(図9A参照)と比較して低い、また、SiGe酸化膜の厚さが飽和になるまでの酸化時間がプラズマ照射の場合(図9A参照)と比較して長い。これは、図9Bのラジカル照射の場合、処理基板30に到達する酸素(O)ラジカルのラジカル密度が図9Aのプラズマ照射の場合より少ないためである。つまり、ステップ603aでは、ラジカル照射を用いてもよいが、スループットを向上する観点からは、プラズマ照射の酸化を用いたほうが望ましい。
 なお、ステップ603aでは、酸素だけでなく、酸素を含有するガスで、SiとSiGeを酸化することができればよい。
 ステップ603bでは、プラズマが減圧室上部領域314に発生するラジカル照射を使用した。図10A~10BはそれぞれSiGeエッチング速度のCFxプラズマ照射時間依存性とCFxラジカル照射酸化時間依存性である。図10A~10Bにおいて、SiGeのエッチング量は実線で示され、Siのエッチング量は点線で示される。CFxプラズマのプラズマ照射を用いた場合、図10Aに示すように、SiGeエッチング量が照射時間の増加によって増加する。しかし、Siが同時にエッチングされ、SiGeとSiとの選択比が低下する。これは、CFxプラズマ照射では、プラズマでのイオンが処理基板30に到達し、CFxラジカルとSiOx層505との反応を促進したためである。一方、CFxラジカルのラジカル照射を用いた場合、図10Bに示すように、SiGeエッチング量が照射時間の増加によって増加し、そして飽和する。Siはほとんどエッチングされない。つまり、ステップ603bでは、プラズマ照射を用いてもSiGeの選択性エッチングが可能であるが、選択比向上の観点からは、ステップ603bでは、CFxラジカルのラジカル照射を用いたほうが望ましい。
 なお、ステップ603bでは、NF3/(C4F8+NF3)混合比57%以下のC4F8とNF3との混合ガスを用いた。NF3/(C4F8+NF3)混合比57%以上の場合、混合ガスでのフッ素(F):炭素(C)比例が3:1以上になり、プラズマ中のCFxラジカルは主に三フッ化炭素(CF3)とフッ素(F)である。CF3はSiGe表面に堆積しないため、SiGeOx層506を除去した後のエッチストップは起こらない。NF3/(C4F8+NF3)混合比57%以下の場合、混合ガスでのF:C比例が3:1以下になり、プラズマ中のCFxラジカルでは二フッ化炭素(CF2)など堆積しやすい粒子がある。SiGeOx層506を除去した後に、CF2の表面堆積によりエッチストップが起こる。図11はSiGeとSiのエッチング速度のNF3/(C4F8+NF3)ガス混合比依存性を示す。NF3/(C4F8+NF3)混合比57%以下の場合、エッチングのストップが発生する。
 なお、ステップ603bでは、C4F8とNF3の混合ガスだけでなく、C、Fを含有するガスで、CFxラジカルを生成すればよい。SiGeOx層506を除去した後にエッチングを止めさせるため、F:C比例が3:1以下の混合ガスが望ましい。つまり、除去工程(ステップ603b)に利用するフッ素元素と炭素元素を含有するガスとしては、炭素元素に対するフッ素元素の比率が3以下となるガスを用いるのが良い。
 フッ素(F)および炭素(C)の元素比例が3:1以下の混合ガスは、三フッ化窒素(NF3)ガス、四フッ化炭素(CF4)ガス、六フッ化硫黄(SF6)ガス、または、フッ素(F2)ガスなどのフッ素(F)および炭素(C)元素比例が3:1以上のフッ素(F)元素含有ガスと、オクタフルオロシクロブタン(C4F8)ガス、オクタフルオロシクロペンテン(C6F8)ガス、ジフルオロメタン(CH2F2)ガス、または、メタン(CH4)ガスなどフッ素(F)および炭素(C)元素比例が3:1以下の炭素(C)元素含有ガスとの混合ガスを用いることができる。
 なお、ステップ603aではプラズマ照射、ステップ604aではラジカル照射を用いた場合、プラズマ照射、ラジカル照射はそれぞれ別のプラズマエッチング装置のチャンバで実施してもよい。プラズマエッチング装置300は、プラズマ照射、ラジカル照射を同一チャンバ301で実施可能なため、スループットの向上に優位性がある。
 実施例1についてまとめると以下と言うことができる。
 1)シリコリン(Si)層502とシリコンゲルマニウム(SiGe)層503が交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層503を各シリコン(Si)層502に対して選択的に等方性エッチングするプラズマ処理方法は、
 酸素元素含有ガスを用いたプラズマによりシリコンゲルマニウム(SiGe)層503とシリコン(Si)層502を酸化させる酸化工程(603a)と、
 フッ素元素と炭素元素を含有するガスを用いたプラズマにより生成されたラジカルによってシリコンゲルマニウム(SiGe)層503を除去する除去工程(603b)と、を有し、
 前記酸化工程(603a)と前記除去工程(603b)を繰り返すことによりシリコンゲルマニウム(SiGe)層503をエッチングする。
 2)前記炭素元素に対する前記フッ素元素の比率が3以下となるガスを前記フッ素元素と炭素元素を含有するガスとして用いる。
 3)前記フッ素元素と炭素元素を含有するガスは、「三フッ化窒素(NF3)ガス、四フッ化炭素(CF4)ガス、六フッ化硫黄(SF6)ガスまたはフッ素(F2)ガス」と、「オクタフルオロシクロブタン(C4F8)ガス、オクタフルオロシクロペンテン(C6F8)ガス、ジフルオロメタン(CH2F2)ガスまたはメタン(CH4)ガス」との混合ガスである。
 4)上記1)~3)は、シリコン(Si)層502とシリコンゲルマニウム(SiGe)層503とが交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層503を各シリコン(Si)層502に対して選択的に等方性エッチングすることによりGate All Around - Field-Effect Transistor(GAA-FET)を形成する半導体装置の製造方法に、利用することができる。
 マスク501がSiO2で形成された場合、実施例1ではステップ604によりSiOx層505を除去するとともにマスク501が除去される可能性がある。この課題に対して、実施例2では、マスク501がSiO2で形成された構造において、酸化ではなく、Si/SiGe積層構造の表面窒化と表面窒化層の除去を繰り返して実施する。実施例2のSiGe選択性エッチング手法にかかるプラズマ処理方法を、フローチャートとして図12に示す。
 実施例2を用いて処理するSi/SiGe積層構造は、実施例1と同様である。実施例2のステップ1201~1202は、実施例1のステップ601~602と同様である。
 実施例2と実施例1の違う点は、図12のステップ1203において、窒素(N2)ガスなどの窒素元素含有ガスを用いたプラズマ照射によりSi/SiGe積層構造の側壁表面のSi層表面とSiGe層表面とを窒化(プラズマ窒化)させて表面窒化物(SiNx、SiGeNx)を形成する窒化工程であるステップ1203aと、オクタフルオロシクロブタン(C4F8)ガスと三フッ化窒素(NF3)ガスの混合ガスなどのフッ素元素と炭素元素を含有するガスの混合ガスを用いたラジカル照射により、SiGe層の表面に形成された表面窒化物SiGeNxを除去する除去工程であるステップ1203bと、を繰り返して実施することである。ステップ1203aで形成した窒化膜(SiNx、SiGeNx)は、ステップ603aで形成した酸化膜と同じように照射時間によりその膜厚が飽和する。ステップ1203bでは、ステップ603bと同じように、SiGe層503の表面に形成された表面窒化膜(SiGeNx)を除去した後に、CFxラジカルはSiGeの表面に堆積する。CFxラジカルの堆積によってエッチングのストップが発生する。
 ステップ1204では、除去する対象が酸化膜でなく、Si層502の表面に形成された表面窒化膜(SiNx)になる。窒化膜(SiNx)の選択性エッチング工程を利用することで、SiO2で形成したマスク501のロス(消失)を防止することができる。
 なお、ステップ1203aでは、スループット向上の観点から、プラズマ照射が望ましいが、ラジカル照射を使用しても構わない。
 また、ステップ1203aでは、N2だけでなく、N元素を含有するガスで、SiとSiGeを窒化することができればよい。
 また、ステップ1203bでは、SiGe/Si選択比向上の観点から、ラジカル照射が望ましいが、プラズマ照射を使用しても構わない。
 また、ステップ1203bでは、C4F8とNF3の混合ガスだけでなく、C、Fを含有するガスで、CFxラジカルを生成すればよい。窒化層を除去した後にSiGe層503のエッチングを止めさせるため、F:C比例が3:1以下の混合ガスが望ましい。つまり、除去工程(ステップ1203b)に利用するフッ素元素と炭素元素を含有するガスとしては、炭素元素に対するフッ素元素の比率が3以下となるガスを用いるのが良い。
 フッ素(F)および炭素(C)の元素比例が3:1以下の混合ガスは、三フッ化窒素(NF3)ガス、四フッ化炭素(CF4)ガス、六フッ化硫黄(SF6)ガス、または、フッ素(F2)ガスなどのフッ素(F)および炭素(C)元素比例が3:1以上のフッ素(F)元素含有ガスと、オクタフルオロシクロブタン(C4F8)ガス、オクタフルオロシクロペンテン(C6F8)ガス、ジフルオロメタン(CH2F2)ガス、または、メタン(CH4)ガスなどフッ素(F)および炭素(C)元素比例が3:1以下の炭素(C)元素含有ガスとの混合ガスを用いることができる。
 また、ステップ1203aではプラズマ照射、ステップ1203bではラジカル照射を用いた場合、プラズマ照射、ラジカル照射はそれぞれ別のプラズマエッチング装置のチャンバで実施してもよい。プラズマエッチング装置300は、プラズマ照射、ラジカル照射を同一チャンバ301で実施可能なため、スループットの向上に優位性がある。
 実施例2についてまとめると以下と言うことができる。
 1)シリコリン(Si)層502とシリコンゲルマニウム(SiGe)層503が交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層503を各シリコン(Si)層502に対して選択的に等方性エッチングするプラズマ処理方法において、
 窒素元素含有ガスを用いたプラズマによりシリコンゲルマニウム(SiGe)層503とシリコン(Si)層502を窒化させる窒化工程(1203a)と、
 フッ素元素と炭素元素を含有するガスの混合ガスを用いたプラズマにより生成されたラジカルによってシリコンゲルマニウム(SiGe)層503を除去する除去工程(1203b)とを有し、
 窒化工程(1203a)と除去工程(1203b)を繰り返すことによりシリコンゲルマニウム(SiGe)層503をエッチングする。
 2)前記炭素元素に対する前記フッ素元素の比率が3以下となるガスを前記フッ素元素と炭素元素を含有するガスとして用いる。
 3)前記フッ素元素と炭素元素を含有するガスは、「三フッ化窒素(NF3)ガス、四フッ化炭素(CF4)ガス、六フッ化硫黄(SF6)ガスまたはフッ素(F2)ガス」と、「オクタフルオロシクロブタン(C4F8)ガス、オクタフルオロシクロペンテン(C6F8)ガス、ジフルオロメタン(CH2F2)ガスまたはメタン(CH4)ガス」との混合ガスである。
 4)上記1)~3)は、シリコン(Si)層502とシリコンゲルマニウム(SiGe)層503とが交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層503を各シリコン(Si)層502に対して選択的に等方性エッチングすることによりGate All Around - Field-Effect Transistor(GAA-FET)を形成する半導体装置の製造方法に、利用することができる。
 GAA-FET加工では、図5A~5Fに示す工程とは別に、図13A~13Bに示すように、幅wが40nm以上のSi層1302とSiGe層1303のSi/SiGe積層構造のSiGe層1303を全部除去する工程に係るプラズマ処理方法が必要である。図13A~13Bにおいて、1301はマスク層であり、例えば、Si、SiGeに対して高いエッチング選択比が得られるシリコン酸化膜(SiO2)やシリコン窒化膜(SiN)などの材料を用いることができる。このSiGe層1303の全部を除去する工程では、SiGe層1303のエッチング量が図5Fに示す工程より大きいため、高速なエッチング速度が要求される。実施例3では、高速なSiGe層1303のエッチング手法として、ステップ603aのような酸化ステップを使わず、オクタフルオロシクロブタン(C4F8)ガスと三フッ化窒素(NF3)ガスの混合ガスを用いたプラズマエッチングのみで実施した。実施例3のSiGe選択性エッチング手法を、フローチャートとして図14に示す。
 実施例3のステップ1401~1402は、実施例1のステップ601~602と同様である。
 実施例3と実施例1の違う点は、図14のステップ1403において、酸化ステップを使わず、C4F8ガスとNF3ガスの混合ガスを用いたプラズマエッチングのみで実施した点である。図11のエッチング速度のNF3混合比依存性に示すように、SiGeのエッチングのストップ(エッチストップ)を防止するため、ステップ1403では、NF3/(C4F8+NF3)混合比57%以上の混合ガスを用いるのが望ましい。つまり、混合ガスの流量に対する三フッ化窒素(NF3)ガスの流量比は、57%以上である。NF3/(C4F8+NF3)混合比57%以上の場合、C4F8とNF3の混合ガスでのF:C比例が3:1以上になり、プラズマ中のCFxラジカルは主に堆積しにくい三フッ化炭素(CF3)のため、CFxラジカルの堆積によるエッチストップが発生しない。
 実施例3は、最大数100nm/minのSiGeエッチング速度が得られるため、図13A~Bに示すSiGe全部除去工程に適用すると、スループットに優位性がある。
 実施例3についてまとめると以下と言うことができる。
 1)シリコン(Si)層1302に対してシリコンゲルマニウム(SiGe)層1303を選択的にプラズマエッチングするプラズマ処理方法において、
 オクタフルオロシクロブタン(C4F8)ガスと三フッ化窒素(NF3)ガスの混合ガスを用いてシリコンゲルマニウム(SiGe)層1303をプラズマエッチングする。
 2)混合ガスの流量に対する三フッ化窒素(NF3)ガスの流量比は、57%以上である。
 3)上記1)~2)は、シリコン(Si)層502とシリコンゲルマニウム(SiGe)層503とが交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層503を各シリコン(Si)層502に対して選択的に等方性エッチングすることによりGate All Around - Field-Effect Transistor(GAA-FET)を形成する半導体装置の製造方法に、利用することができる。
 以上、本発明者によってなされた発明を実施例に基づき具体的に説明したが、本発明は、上記実施形態および実施例に限定されるものではなく、種々変更可能であることはいうまでもない。
 101,201,501,1301:マスク
 102,202,502,1302:Si層
 103,203,503,1303:SiGe層
 204,508:SiGe層のエッチング量
 300:プラズマエッチング装置
 301:真空チャンバ
 302:基板載置台
 310:多孔板
 312:誘電体窓
 313:減圧室下部領域
 314:減圧室上部領域
 320:マグネトロン
 330:ガス供給ノズル
 340:制御部
 400:ガス供給部
 411,421,431:マスフローコントローラ(MFC)
 412,422,432:バルブ
 504:酸素プラズマ
 505:SiOx層
 506:SiGeOx層
 507:CFxラジカル

Claims (10)

  1.  シリコリン(Si)層とシリコンゲルマニウム(SiGe)層が交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層を各シリコン(Si)層に対して選択的に等方性エッチングするプラズマ処理方法において、
     酸素元素含有ガスを用いたプラズマにより前記シリコンゲルマニウム(SiGe)層と前記シリコン(Si)層を酸化させる酸化工程と、
     フッ素元素と炭素元素を含有するガスを用いたプラズマにより生成されたラジカルによって前記シリコンゲルマニウム(SiGe)層を除去する除去工程とを有し、
     前記酸化工程と前記除去工程を繰り返すことにより前記シリコンゲルマニウム(SiGe)層をエッチングすることを特徴とするプラズマ処理方法。
  2.  請求項1に記載のプラズマ処理方法において、
     前記炭素元素に対する前記フッ素元素の比率が3以下となるガスを前記フッ素元素と炭素元素を含有するガスとして用いることを特徴とするプラズマ処理方法。
  3.  シリコリン(Si)層とシリコンゲルマニウム(SiGe)層が交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層を各シリコン(Si)層に対して選択的に等方性エッチングするプラズマ処理方法において、
     窒素元素含有ガスを用いたプラズマにより前記シリコンゲルマニウム(SiGe)層と前記シリコン(Si)層を窒化させる窒化工程と、
     フッ素元素と炭素元素を含有するガスの混合ガスを用いたプラズマにより生成されたラジカルによって前記シリコンゲルマニウム(SiGe)層を除去する除去工程とを有し、
     前記窒化工程と前記除去工程を繰り返すことにより前記シリコンゲルマニウム(SiGe)層をエッチングすることを特徴とするプラズマ処理方法。
  4.  請求項3に記載のプラズマ処理方法において、
     前記炭素元素に対する前記フッ素元素の比率が3以下となるガスを前記フッ素元素と炭素元素を含有するガスとして用いることを特徴とするプラズマ処理方法。
  5.  請求項1ないし請求項4のいずれか一項に記載のプラズマ処理方法において、
     前記フッ素元素と炭素元素を含有するガスは、三フッ化窒素(NF3)ガス、四フッ化炭素(CF4)ガス、六フッ化硫黄(SF6)ガスまたはフッ素(F2)ガスと
     オクタフルオロシクロブタン(C4F8)ガス、オクタフルオロシクロペンテン(C6F8)ガス、ジフルオロメタン(CH2F2)ガスまたはメタン(CH4)ガスとの混合ガスであることを特徴とするプラズマ処理方法。
  6.  シリコン(Si)層に対してシリコンゲルマニウム(SiGe)層を選択的にプラズマエッチングするプラズマ処理方法において、
     オクタフルオロシクロブタン(C4F8)ガスと三フッ化窒素(NF3)ガスの混合ガスを用いて前記シリコンゲルマニウム(SiGe)層をプラズマエッチングすることを特徴とするプラズマ処理方法。
  7.  請求項6に記載のプラズマ処理方法において、
     前記混合ガスの流量に対する前記三フッ化窒素(NF3)ガスの流量比は、57%以上であることを特徴とするプラズマ処理方法。
  8.  シリコン(Si)層とシリコンゲルマニウム(SiGe)層とが交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層を各シリコン(Si)層に対して選択的に等方性エッチングすることによりGate All Around - Field-Effect Transistor(GAA-FET)を形成する半導体装置の製造方法において、
     酸素元素含有ガスを用いたプラズマにより前記シリコンゲルマニウム(SiGe)層と前記シリコン(Si)層を酸化させる酸化工程と、
     フッ素元素と炭素元素を含有するガスを用いたプラズマにより生成されたラジカルによって前記シリコンゲルマニウム(SiGe)層を除去する除去工程とを有し、
     前記酸化工程と前記除去工程を繰り返すことにより前記シリコンゲルマニウム(SiGe)層をエッチングすることを特徴とする半導体装置の製造方法。
  9.  シリコン(Si)層とシリコンゲルマニウム(SiGe)層とが交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層を各シリコン(Si)層に対して選択的に等方性エッチングすることによりGate All Around - Field-Effect Transistor(GAA-FET)を形成する半導体装置の製造方法において、
     窒素元素含有ガスを用いたプラズマにより前記シリコンゲルマニウム(SiGe)層と前記シリコン(Si)層を窒化させる窒化工程と、
     フッ素元素と炭素元素を含有するガスの混合ガスを用いたプラズマにより生成されたラジカルによって前記シリコンゲルマニウム(SiGe)層を除去する除去工程とを有し、
     前記窒化工程と前記除去工程を繰り返すことにより前記シリコンゲルマニウム(SiGe)層をエッチングすることを特徴とする半導体装置の製造方法。
  10.  シリコン(Si)層とシリコンゲルマニウム(SiGe)層とが交互に積層された積層構造の各シリコンゲルマニウム(SiGe)層を各シリコン(Si)層に対して選択的に等方性エッチングすることによりGate All Around - Field-Effect Transistor(GAA-FET)を形成する半導体装置の製造方法において、
     オクタフルオロシクロブタン(C4F8)ガスと三フッ化窒素(NF3)ガスの混合ガスを用いて前記シリコンゲルマニウム(SiGe)層をプラズマエッチングすることを特徴とする半導体装置の製造方法。
PCT/JP2021/023097 2021-06-17 2021-06-17 プラズマ処理方法および半導体装置の製造方法 WO2022264380A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2022536779A JP7320135B2 (ja) 2021-06-17 2021-06-17 プラズマ処理方法および半導体装置の製造方法
CN202180013644.2A CN115707347A (zh) 2021-06-17 2021-06-17 等离子体处理方法及半导体装置的制造方法
KR1020227018642A KR102631665B1 (ko) 2021-06-17 2021-06-17 플라스마 처리 방법 및 반도체 장치의 제조 방법
PCT/JP2021/023097 WO2022264380A1 (ja) 2021-06-17 2021-06-17 プラズマ処理方法および半導体装置の製造方法
TW111121839A TWI822106B (zh) 2021-06-17 2022-06-13 電漿處理方法及半導體裝置之製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2021/023097 WO2022264380A1 (ja) 2021-06-17 2021-06-17 プラズマ処理方法および半導体装置の製造方法

Publications (1)

Publication Number Publication Date
WO2022264380A1 true WO2022264380A1 (ja) 2022-12-22

Family

ID=84526956

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2021/023097 WO2022264380A1 (ja) 2021-06-17 2021-06-17 プラズマ処理方法および半導体装置の製造方法

Country Status (5)

Country Link
JP (1) JP7320135B2 (ja)
KR (1) KR102631665B1 (ja)
CN (1) CN115707347A (ja)
TW (1) TWI822106B (ja)
WO (1) WO2022264380A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117038444A (zh) * 2023-08-14 2023-11-10 北京北方华创微电子装备有限公司 干法刻蚀方法和半导体工艺设备

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018006405A (ja) * 2016-06-28 2018-01-11 株式会社Screenホールディングス エッチング方法
US20190013395A1 (en) * 2017-07-04 2019-01-10 Imec Vzw Germanium Nanowire Fabrication
US20190019681A1 (en) * 2017-07-12 2019-01-17 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
JP2019507505A (ja) * 2016-03-02 2019-03-14 東京エレクトロン株式会社 等方性シリコン及びシリコンゲルマニウムの調整可能な選択性を備えたエッチング
US20200027741A1 (en) * 2018-07-20 2020-01-23 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of silicon-germanium alloys
JP2021048244A (ja) * 2019-09-18 2021-03-25 東京エレクトロン株式会社 エッチング方法及び基板処理システム
WO2021085158A1 (ja) * 2019-10-29 2021-05-06 東京エレクトロン株式会社 基板処理方法、基板処理装置及びナノワイヤ又はナノシートのトランジスタの製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3974356B2 (ja) * 2001-08-31 2007-09-12 芝浦メカトロニクス株式会社 SiGe膜のエッチング方法
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
JP4738194B2 (ja) 2006-02-09 2011-08-03 芝浦メカトロニクス株式会社 エッチング方法及び半導体装置の製造方法
JP5819243B2 (ja) * 2012-04-23 2015-11-18 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6138653B2 (ja) 2013-10-08 2017-05-31 株式会社日立ハイテクノロジーズ ドライエッチング方法
US9508831B2 (en) * 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
KR102402769B1 (ko) * 2016-01-06 2022-05-26 삼성전자주식회사 반도체 장치
TW202125622A (zh) * 2019-08-28 2021-07-01 美商得昇科技股份有限公司 使用氟自由基處理工件的方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019507505A (ja) * 2016-03-02 2019-03-14 東京エレクトロン株式会社 等方性シリコン及びシリコンゲルマニウムの調整可能な選択性を備えたエッチング
JP2018006405A (ja) * 2016-06-28 2018-01-11 株式会社Screenホールディングス エッチング方法
US20190013395A1 (en) * 2017-07-04 2019-01-10 Imec Vzw Germanium Nanowire Fabrication
US20190019681A1 (en) * 2017-07-12 2019-01-17 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US20200027741A1 (en) * 2018-07-20 2020-01-23 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of silicon-germanium alloys
JP2021048244A (ja) * 2019-09-18 2021-03-25 東京エレクトロン株式会社 エッチング方法及び基板処理システム
WO2021085158A1 (ja) * 2019-10-29 2021-05-06 東京エレクトロン株式会社 基板処理方法、基板処理装置及びナノワイヤ又はナノシートのトランジスタの製造方法

Also Published As

Publication number Publication date
TWI822106B (zh) 2023-11-11
JP7320135B2 (ja) 2023-08-02
TW202301468A (zh) 2023-01-01
JPWO2022264380A1 (ja) 2022-12-22
KR102631665B1 (ko) 2024-02-01
KR20220169452A (ko) 2022-12-27
CN115707347A (zh) 2023-02-17

Similar Documents

Publication Publication Date Title
JP4554461B2 (ja) 半導体装置の製造方法
KR101826642B1 (ko) 드라이 에칭 방법
JP5466756B2 (ja) プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20150140827A1 (en) Methods for barrier layer removal
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
KR101679371B1 (ko) 드라이 에칭 방법
US6855643B2 (en) Method for fabricating a gate structure
JP4008352B2 (ja) 絶縁膜のエッチング方法
WO2022264380A1 (ja) プラズマ処理方法および半導体装置の製造方法
US7008878B2 (en) Plasma treatment and etching process for ultra-thin dielectric films
WO2021181613A1 (ja) プラズマ処理方法
US20110171833A1 (en) Dry etching method of high-k film
CN112424912B (zh) 等离子处理方法
US20180122651A1 (en) Plasma etching method
JP6113608B2 (ja) プラズマエッチング方法
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
WO2022185491A1 (ja) 半導体装置製造方法およびプラズマ処理方法
JP7296602B2 (ja) SiC基板の製造方法
JP5792613B2 (ja) プラズマエッチング方法
KR100920043B1 (ko) 반도체 소자의 리세스 게이트 및 그의 형성방법
JP2009260092A (ja) 多層レジスト膜のドライエッチング方法

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 2022536779

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 17907824

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21946057

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE